• AI글쓰기 2.1 업데이트
  • 통합검색(341)
  • 리포트(319)
  • 시험자료(11)
  • 논문(7)
  • 자기소개서(3)
  • 방송통신대(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Input buffer" 검색결과 221-240 / 341건

  • [공학]디지털 논리 소자,논리 게이트(예비)
    이상VIL : low level input voltage : L level 입력전압0.8v이하VOL : low level output voltage : L level 출력전압0.4 ... 0010101001105. Wired 논리?Wired 논리란 Open collector 출력, open drain 출력, 3-State buffer 또는 Emitter ... -input AND gate)SN7432(Quad 2-input OR gate) SN7400(Quad 2-input NAND gate)SN7402(Quad 2-input NOR
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,000원 | 등록일 2006.12.20
  • FMS(유연생산시스템)
    Machine) Input/Output Buffer AS/RS (Automated Storage and Retrieval System)In-line (일렬배치, 이열배치) Loop
    Non-Ai HUMAN
    | 리포트 | 23페이지 | 2,500원 | 등록일 2009.09.12
  • 망중립성 발표자료
    있는 주파수는 2.1GHz 대역 30MHz 와 700MHz 대역의 108MHz, 총 138MHz 에 불과 MIMO (Multi-Input-Multi-Output) CR ... Manager 에 전달 Marker: 패킷 헤더에 QoS 등급을 표시함 Policer: 패킷의 유입율 (bps) 을 측정 , 약속된 데이터 이상을 내보내지 않도록 함 Buffer
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 3,000원 | 등록일 2011.12.06
  • OP AMP 회로
    으로 전류를 흘리고 싶을 때 버퍼(buffer) 회로에 적합하다.4) 적분회로5) 비교기와 슈미트 회로① 비교회로 : 어느 한 전압을 기준으로 해서 그 전압을 넘으면 출력이 변화 ... input (V) > output(V)=0Vin = Vout?If input (V) < output(V)=0n = 0 (ground)즉, 역방향일 때는, 전압이 출력되지 않아 그
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 2,000원 | 등록일 2010.10.13
  • 컴퓨터 하드웨어에 대해서(중앙처리장치, 기억장치)
    된 시스템으로서 사용자에게 강력한 정보처리 도구가 된다. 즉, 컴퓨터의 하드웨어는 입력 장치(input unit), 중앙 처리 장치(CPU), 기억장치(memory), 출력 장치 ... 사이에 데이터를 전달하는 기억장치 버퍼 레지스터(MBR : Memory Buffer Register)가 있다.(1) RAMRAM은 사용자가 정보를 임의로 읽고 쓰는 일(read
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 3,500원 | 등록일 2011.11.11
  • 신호발생기
    ) 전압 플로워그림 6은 전압 플로워이다. 출력 임피던스가 매우 낮아 출력에 큰 전류를 흘려도 출력 전압의 변화는 없다. 이 회로는 전압으로 전류를 흘리고 싶을 때 버퍼(buffer ... input (V) > output(V)=0Vin = Vout?If input (V) < output(V)=0n = 0 (ground)즉, 역방향일 때는, 전압이 출력되지 않아 그
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 3,000원 | 등록일 2010.09.12
  • [영상처리] Laplacian Mask를 이용한 Image Sharpening
    ], width, 1, input);}//상하좌우 1씩 증가된 배열에 이미지 넣고 상하좌우는 모서리와 같은값 복사buffer2[0][0]=buffer1[0][0];buffer2[0 ... #define height 500main(){//변수선언, 입출력 파일 선언int i,j,k,l;FILE *input, *output;char file1[20]="jmj.raw";char ... file2[20]="output.raw";unsigned char buffer1[width][height],buffer2[width+2][height+2];int buff
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2005.05.21
  • AVR을 이용한 다양한 기기설계
    -Buffer_set:'Disable Timer0 ' Disable Timer0'E2padrs = 0Temp = 0'For Base = 0 To Buffer_end_adrs ... 'Gosub E2prom_readDisplay_buffer(temp) = E2pdata Xor &HFFE2padrs = E2padrs + 1Temp = Temp + 1'Next Base ... --------------------------------E2prom_write:'' Input' (Byte)E2padrs = EEPROM Address' (Byte)E2pdata
    Non-Ai HUMAN
    | 리포트 | 38페이지 | 2,500원 | 등록일 2010.03.22
  • CISC와 RISC에대한 컴퓨터구조
    - MBR(Memory Buffer Register) : 기억장치에 저장될 데이터 혹은 기억장치로부터 읽은 데이터를 일시 저장하는 기억장치?CPU는 I/O모듈과 데이터 교환한다. 교환 ... 을 위해 2개의 레지스터를 사용한다.- I/O AR(Input/output address register) : CPU에서 특정 I/O장치를 지정한다.- I/O BR(Input ... /output buffer register) : CPU와 I/O모듈 사이의 데이터 교환을 위해 사용된다.?이제 까지 최상위에서 컴퓨터를 봤을때 간단한 컴퓨터 구성요소에 대해 알아봤다그럼
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2008.11.11
  • 부울대수의 정리(예비)
    -input)OR 게이트1개7432 (Quad 2-input)기타재료 및 도구리퍼, 롱노즈, 배선, 스트리퍼 등2. 실험 기본 이론1) 부울대수(Boolen algebra)의 발생부울대수 ... (Inverse, NOT)④ Buffer GATE⑤ NAND GATE⑥ NOR GATE⑦ XOR GATE⑧ XNOR GATE5) 부울대수(Boolen algebra)의 기본 정리항등
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,000원 | 등록일 2010.07.14 | 수정일 2015.04.04
  • SIC/XE Machine의 parsing 프로그램. SIC/XE 표준 입력을 받아 명령어만을 parsing한다
    파일을 읽어 들여 optable이란 struct에 저장하여 source 코드를 해석한다.‘Source’ 파일을 input → ‘change’ 라는 output 파일로 출력2) 함수 ... 에서 읽어온 한 line에 어떤 opcode가 있는지 검색하는 함수이다.*C언어 제공 함수Fgets(): 파일에서 ‘\n’이나 buffer 값까지 한번에 읽어오는 함수.Fgetc ... , int*);int main(void) {struct optable op[100];FILE *source, //input source file.*opfile, //opcode
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2008.12.01
  • 메모리(ROM,RAM)설계결과보고서
    때 일종의 버퍼(buffer)의 역할을 하면서 연산 작업을 쉽게 돕는 역할을 한다.1.2 메모리의 종류1.2.1 ROM의 종류1.2.1.1 마스크롬 (Mask ROM)사용자의 필요 ... 의 Integer로의 변환요구② Waveform으로 조건 기술③ ModelSim으로 시뮬레이션- 주요부분 코드 설명 -?ROM의 VHDL 설정① addr, c_e, o_e 를 INPUT ... 으로 시뮬레이션- 주요부분 코드 설명 -?8비트 ROM의 VHDL 설정① addr, c_e, o_e 를 INPUT, data를 OUTPUT설정② CONSTANT 지정③ PROCESS 선언
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,500원 | 등록일 2009.05.25
  • [영상처리] [영상처리] 워터마킹
    height 500main(){//변수선언, 입출력 파일 선언int i,j;FILE *input, *output, *water_result;char file1[20]="jmj ... char buffer1[width][height],buffer2[width][height], water[width][height];float noise, uniform1 ... , uniform2;//입출력 파일 포인터로 받기if(NULL == (input = fopen(file1, "rb"))){printf("\7
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2005.05.21
  • opengl 로봇 프로그램
    File For Windows#include // Header File For Standard Input/Output#include // Header File For The ... _COLOR_BUFFER_BIT|GL_DEPTH_BUFFER_BIT);glLoadIdentity();glColor3f(1.0, 0.0, 0.0);glRotatef(theta[14], 0.0
    Non-Ai HUMAN
    | 리포트 | 2,500원 | 등록일 2008.12.25
  • 자동 빛감지 블라인드
    Input_Key_Buffer=0;unsigned char Pre_Key_Data=0;unsigned char Now_Key_Data=0;unsigned char Key_Read
    Non-Ai HUMAN
    | 리포트 | 33페이지 | 8,000원 | 등록일 2011.06.12
  • Reverse Polish calculator and 행렵곱셈
    =1;char buffer[1024];int j,input,sign=1;code=0;for(i=0;code!='\n'&&code!='\r';i++){code=getchar ... ();putchar(code);buffer[i]=code;}for(j=0;i!=j;j++){input=buffer[j];switch(input){case '0':case '1':case '2 ... ':case '3':case '4':case '5':case '6':case '7':case '8':case '9':num=num*10+(input-'0');clear = 0
    Non-Ai HUMAN
    | 리포트 | 1,000원 | 등록일 2004.10.11
  • VHDL을 이용한 교통신호기
    ; -- switch input red : out std_logic_vector(3 downto 0); -- red light ... yellow : out std_logic_vector(3 downto 0); -- yellow light green : buffer std_logic
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2006.11.10
  • [C언어]파일입출력을이용한 정보 산출
    };//========================입력 부분=============================int Input(){FILE *fp; //파일 포인터 선언s ... 반복{fgets(buffer, MAX, fp); //한 라인씩 불러들여서 buffer에 저장printf("%s", buffer); //화면에 정보 출력strcpy(buffer ... ============================int Delete(){FILE *fp;FILE *fo;int i=0;int stu_num;char buffer[MAX];struct
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2006.06.10
  • [화일 처리론]키정렬 key sort (키소트) 프로그램 입니다.
    C 언어로 구현하였습니다.input.txt 파일을 받아 정의된 레코드의 필드 형식을 기준으로키정렬을 수행하고 output.txt 파일에 기록하는 프로그램입니다.화일처리론의 레포트 ... _record(char *buffer);void main(){char filename[15];int fd;rrn_id *head=(struct rrn_id*)malloc(sizeof(rrn
    Non-Ai HUMAN
    | 리포트 | 2,000원 | 등록일 2006.06.18
  • pH 측정
    는 측정시료의 온도가 자동으로 기준온도로 변환되는 것이 아니라 측정시료의 정확한 온도 값의 pH 가 표시되는 것이다.현대의 발달된 PH METER 는 PH 보정시 특정 BUFFER ... , 유리전극은 측정기기의 high impedance input을 가진 곳에 꼽아야 하며, indicator전극은 정상적으로 low impedance input에 연결하면 된다.이
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,500원 | 등록일 2009.05.29 | 수정일 2018.03.03
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 27일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감