• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,

*우*
최초 등록일
2006.01.13
최종 저작일
2006.01
23페이지/파일확장자 어도비 PDF
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

제 자료는 두가지 주제를 가지고 있습니다.

첫번째는, VHDL 의 대표적인 TOOL 이라고 할 수 있는 MAX PLUS 2 에 대한 설치 방법 및 사용법입니다.

VHDL 을 사용해야만 하는데, 그 사용법을 잘 모르거나, 도데체 무슨 TOOL을 이용해서, 프로그래밍을 해야하는지 망설이시는 분들께서는 제 자료의 처음 부분이 아주 큰 도움이 되실 것이라고 확신 합니다. 시중에 나와 있는 좋은 책들도 있지만, 당장 내일 프로그램을 짜서 제출 해야 한다고 한다면, 많은 도움이 될 것 입니다. 간단한 D F/F 작성과 같은 간단한 예제와 특정 목적을 가지는 COUNTER 의 설계를 응용예제로 따라해봄으로써, MAX PLUS 2 의 사용에 더욱 익숙해질 수 있을 것입니다.

두번째는, VHDL 로 만들어 본 디지털 시계 시스템 입니다.

시중에 많은 강좌와 또는 전자 관련 학과의 디지털시스템 설계 수업에서, 강의 자료로 활용되고 있는 주제 입니다. 허나, 저는 보다 쉽고, 여러분들이 이해하기 쉬운 입장에서, 본 자료를 만들었으며, 각각의 VHDL CODE 에 주석을 다 달아놓았기에, 여러분들이 임박한 기한에 제출해야 하는 레포트의 확실한 도우미가 되어 줄 것입니다. 뿐만아니라, 기존의 여러 강좌나 교재에서 제공되는 복잡한 코드를 알고리즘 상으로 고안하여, 많이 간추렸기때문에, 이해하기에도, 또한 직접 작성하기에도 훨씬 수월할것이라고 자신합니다. 또한 철저한 시뮬레이션을 통해서, 검증을 하였기때문에, 바로 사용하셔서 이용하셔도 무방 할것 입니다.

목차

1. MAX PLUS 2 설치 방법 - 기본 설치방법설명
2. MAX PLUS 2 사용법1 [예제 따라하기]
- D_F/F VHDL CODING & SIMULATION 을 통한 MAX PLUS 2 사용법 익히기
3. MAX PLUS 2 사용법2 [응용예제 따라하기]
- COUNTER VHDL CODING & SIMULATION 을 통한 MAX PLUS 2 사용법 익히기
4. DIGITAL WATCH SYSTEM 설계
- DIGITAL WATCH SYSTEM 의 설명 및 상태도 설명.
- DIGITAL WATCH SYSTEM 의 버튼 별 역할 및 기능 설명.
- DIGITAL WATCH 설명 및 VHDL CODING & SIMULATION .

본문내용

1. MAX PLUS 2 설치 방법 - 기본 설치방법설명
2. MAX PLUS 2 사용법1 [예제 따라하기]
- D_F/F VHDL CODING & SIMULATION 을 통한 MAX PLUS 2 사용법 익히기
3. MAX PLUS 2 사용법2 [응용예제 따라하기]
- COUNTER VHDL CODING & SIMULATION 을 통한 MAX PLUS 2 사용법 익히기
4. DIGITAL WATCH SYSTEM 설계
- DIGITAL WATCH SYSTEM 의 설명 및 상태도 설명.
- DIGITAL WATCH SYSTEM 의 버튼 별 역할 및 기능 설명.
- DIGITAL WATCH 설명 및 VHDL CODING 및 각 CODE 별 설명.
- DIGITAL WATCH VHDL CODING 에 대한 결과 SIMULATION

DIGITAL WATCH SYSTEM VHDL CODE 일부.

-- 1] TIME_MODE PART IN WATCH_SYSTEM PART
-- SECOND CONTROL(SECOND TIME SET & SECOND TIME WATCH), MINUTE CONTROL(MINUTE TIME SET & MINUTE TIME WATCH), HOUR CONTROL(HOUR TIME SET & HOUR TIME WATCH)

-- TIME_MODE.VHD

library ieee;
use ieee.std_logic_1164.all;

entity time_mode is -- input : 10ms clk, mode botton, function1,2 bottons
-- output: hour, minute, second, state of setting the hour,minute and second
port(clk : in std_logic; -- 100hz(10ms) clk
botton_mode : in std_logic_vector(1 downto 0); -- botton to convert modes in watch_system
-- ("00" :timedisplay_mode, "01" :timeset_mode, "10" :stopwatch_mode)
botton_function1 : in std_logic; -- 1]botton to convert the hour,minute,second in the timeset_mode
-- 2]botton to convert the start,stop in the stopwatch_mode
botton_function2 : in std_logic; -- 1]botton to increase the hour,minute,second in the timeset_mode
-- 2]botton to return the stopwatch_mode of clear at any stopwatch condition
state_flickering : out std_logic_vector(1 downto 0);-- output to display the state of IN SETTING in timeset_mode, that is flickering port
second : out integer range 59 downto 0;
minute : out integer range 59 downto 0;
hour : out integer range 23 downto 0);
end entity time_mode;

참고 자료

본 자료와 직접 적인 관련은 없지만, vhdl 을 공부하려고 하시는 여러분께 도움을 드리고자 링크 합니다. 열심히 하시길 바라며, 언제나 행운이 가득하시길 바랍니다.
http://www.vhdl-online.de/~vhdl/tutorial/
http://www.seas.upenn.edu/~ee201/vhdl/vhdl_primer.html

자료후기(1)

*우*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) &  MAX PLUS 2 사용법 설명,
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업