• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서

*태*
개인인증판매자스토어
최초 등록일
2014.08.15
최종 저작일
2013.06
16페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. Introduction
2. Design
3. Conclusion
4. Evaluation

본문내용

1. Introduction
VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계한다. Mealy machine과 Moor machine 두 가지 방법으로 설계를 구상할 수 있다. 이번 주에는 저번 주에 설계했던 binary code와 gray code를 참고하여 어떤 특정한 문자열이 나왔을 때 출력을 하는 회로를 상태도와 상태표를 그려서 설계해보고 이를 코드로 구성해본다.

<중 략>

이번 설계는 Mealy machine과 Moor machine을 이해하고 이를 통해서 유한한 문자열의 검출기를 설계하는 실험이었다. 특정한 문자열이 나오면 1을 출력하고 다른 문자열이 나오면 0을 출력함과 동시에 출력을 0으로 고정시키는 코드를 설계해보았다. 이번 설계에서는 “1101”이 나오면 1을 출력하고 “11010”이 나오면 0을 출력함과 동시에 다음 출력이 모두 0이 나오게 설계를 했다. 설계에 따라서 S0부터 S5까지 상태도를 직접 그려보았다. S0->“0”, S1->“1”, S2->“11”, S3->“110”, S4->“1101”, S5->“11010” 다음 0을 출력, S0에서 S5까지 총 6개의 상태로 상태도를 구성할 수 있다.

참고 자료

논리회로설계실험, 김종태 교수님, 12주차 강의노트
LOGIC DESIGN 6판 / Roth, Charles H
VHDL을 이용한 디지털시스템 설계 2판 / Roth, Charles H
*태*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 순차회로 설계 - FSM 예비보고 7페이지
    출 일 : 2014. 5. 22 논리회로설계 실험 예비보고서 #9 실험 9 ... 과 목 : 논리회로설계실험 명 : 순차회로 설계 - FSM 담당교수 ... 예비 이론 (1) FSM - 유한 상태 기계(finite-state machine
최근 본 자료더보기
탑툰 이벤트
논리회로실험 - 제 8장  VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업