• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 21-40 / 304건

  • 워드파일 광전자공학 4주차 조별 보고서, 회절격자 & 프리즘
    스텝모터 위에 프리즘을 올려서 원하는 각도만큼 스텝모터를 회전시킴으로써 프리즘을 회전시켰다. ... 모터를 더 작은 스텝으로 나눌 수 있는 방법에 대해서 토의해 보았다. ... , 스카치 테이프, 칼, 하드보드지(아크릴 판), 플라스틱 자, 웜기어 창의적이면서도 분광 해상도가 높은 분광기를 만들기 위해서 고민하던 중, 스텝 모터의 마이크로스텝 모드와 같이
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 한글파일 제어계측공학과 졸업작품 『라인트레이서』
    라인트레이서의 기본적인 원리는 바닥에 그려진 주행선을 센서로 검출하여 목적 위치r), 스텝모터(stepping motor), 펄스 모터(pulse motor)는 같은 의미로 사용됩니다 ... Step Motor 구동을 위한 chip이 따로 나와 있지만 회로를 구성하여 사용하였습니다. 모터를 양방향으로 구동시키기 위해 BA6208를 사용하였습니다. ... 자력을 발생시키기 위한 방법에 의해 유니 폴라/바이폴라 구동으로 구분되는데 여기서 사용한 Step Motor는 정전압 / 유니폴라 형을 사용하였습니다.
    논문 | 36페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 파일확장자 전기기기실험_Ch01
    Stepping motor스테핑모터는 외부의 DC전압 또는 전류를 모터의 각 상단자에 스위칭방식으로 입력시켜 일정한 각도의 회전을 하는 모터이다. ... 펄스입력으로 오픈 루프 제어가 가능하다. 2) 모터의 회전각도와 입력펄스의 수가 완전히 비례한다. 3) 한 스텝 당의 각도오차가 적고, 오차는 누적되지 않는다. 4) 기동정지, 정 ... / 역회전의 응답성이 좋다. 5) 모터의 축을 부하에 직결한 상태로 초저속으로 동기운전이 가능하다. 6) 자기 유지력이 있어서 브레이크 등을 사용하지 않고도 정지 위치를 유지할 수
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.22
  • 파일확장자 부산대학교 제어시스템 보고서 예비,실험1 (A+/m파일포함)
    실험내용2.1 실험방법 ① Kp값을 0에서 50씩 증가시키며 1000까지 달리 입력 한다. ② 모터의 회전각은 180°(degree)로 맞춘다. ③ Input으로 Step 함수를 선택한다 ... 또한, Matlab을 이용하여 이론적인 스텝응답과 실험 시의 응답을 비교해 본다.2. ... 비례게인에 따른 Step response의 실험데이터와 시뮬레이션 데이터를 동시에 나타낸 그래프를 아래에 첨부하였다. 4.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.12.30
  • 한글파일 인하대학교 / 기계공학실험A_DC모터제어_결과보고서
    마지막 실험은 2차 시스템에 unit step input을 넣어 output을 구하고, xi 와` omega _{n}을 계산하는 실험이다. ... 첫 번째 실험에서 전압을 가해준 뒤 부하가 없는 1차 시스템에 step-input을 주고 Output을 얻은 후 Gain과 시정수를 구했다. ... Step-input 에 대한 부하, 무부하의 이득 및 시정수를 작성하고 시정수의 의미에 대하여 서술하시오.
    리포트 | 14페이지 | 2,500원 | 등록일 2023.04.13
  • 파워포인트파일 발목 발란스 운동(ankle balance exercise)
    전략 Ankle strategy Hip strategy Stepping strategy Control COG Prevent fall Balance 검사 Ankle Test Static ... to stand Dynamic Y Balance Test Dynamic Single Leg Hop Dynamic Tripple Leg Hop Exercise 03 ▶ Step-up ... and over 계단 오르고 내려오는 동작을 실시 ( 스텝박스 , 박스 이용 ) 발바닥 전체가 바닥과 박스에 닿는 것을 목표로 삼으며 실시 Exercise ▶ Forward Lunge
    리포트 | 18페이지 | 1,500원 | 등록일 2022.09.18 | 수정일 2022.12.13
  • 한글파일 생산자동화 주요 부품활용 사례 설계
    스텝모터(Step motor) 이번에 사용한 모터스텝모터로 3축 픽업 머신에 사용할 모터를 고려했을 때 사용 목적에 따라 스텝모터의 종류를 달리하였다. ... 참고자료 스텝모터의 종류와 구조 (ttps://blog.naver.com/motor2662/221118769090) ... 셋트 픽업머신의 허리부분의 원형판과 헤드, 3축 픽업머신의 유압실린더 위 장착된 원형판에 사용 할 모터는 HB형(Hybrid type:복합형) 스텝모터를 채택하여 스텝수가 작은 대신
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.25
  • 워드파일 기계공학실험 - Bump Test Modeling 보고서 (홍익대, 홍익대학교)
    이를 랩뷰를 통해 구현하여 step 형태의 전압(input)을 서보 모터에 가했을 때의 서보 모터의 회전속도(output)를 관찰한다. ... , 는 output값으로 모터의 회전속도를 뜻하며, 는 input값으로 전압을 뜻한다. = : s-domain으로 나타난 step input voltage 함수이며, 는 전압에 따른 ... Step signal을 이용하여 input신호로 2V를 모터에 가한다. 3. 프로그램을 실행시켜 전압과 이에 따른 모터의 회전속도로 나타난 그래프를 플롯한다. 4.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.03.24
  • 한글파일 직류전동기의 원리,용도,특징,종류,사용현황
    (Step) 에스컬레이터에 있어서 이동하는 계단의 유니트를 스텝이라 하는데, 스텝은 프레임에 발판(tread board)과 라이저(riser)를 조합한 구조로써 전륜과 후륜 각 2개의 ... 에스컬레이터 1) 구조 전기모터가 상, 하에 있는 기어에 동력을 전달하고 피자처럼 생긴 판넬이 계속해서 돌아가는 형태이다.[8] ① 전동기 (Electric Motor) 에스컬레이터를 ... 전동차(전철), 권상기, 크레인 등 매우 큰 기동 토크가 필요한 곳[2] I _{a} =I# E``=V-I _{a} R _{a} -I _{a} R _{s}관계식 3-4 직류전동기의
    리포트 | 8페이지 | 5,000원 | 등록일 2020.10.25 | 수정일 2022.01.04
  • 한글파일 [보고서1등] DC Motor 구동 및 DAQ (결과) [A+] 아주대 기계공학응용실험
    Matlab 코딩 clear; clc; close all load('step_3V.mat'); %1조: 큰기어(Jm=0.000087313), 작은 판(Jm=0.00028399), 작은 ... 실험 결과 (1) 입력 값으로 Step신호 3V 및 -3V를 모터의 회전각을 저장하여 그래프를 작성한다. ① Step 신호 3V ② Step 신호 -3V (2) 주어진 J _{m}, ... 실험 이론 (1) BLDC motor - BLDC (Brushless Direct Current) Motor는 자동차 산업, 우주산업, 의료기기 뿐만아니라 일반 사무용품, 가정용 기기
    리포트 | 13페이지 | 3,900원 | 등록일 2019.09.05
  • 워드파일 PID 실험 진동및메카트로닉스 실험 보고서
    두 번째 step 역시 마찬가지입니다. ... 같은 방식으로 6 step을 돌고 나면 제자리로 오게 됩니다. ... Step2를 살펴보면 이번에는 회전자의 N 극을 밀어내기 위해서는 V 상이 N 극, 회전자의 N 극 당겨주기 위해서는 W 상이 S 극이 되면 됩니다.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 한글파일 부산대 기계공학기초실험 텀프로젝트 보고서(A+)
    본론 2.1 이론적 배경 및 채택 이유 Stepping Motor 펄스 모터, 스텝 모터라고도 불리며, 모터축의 회전이 동작신호 1 펄스당 정해진 스텝 각도씩 움직이는 모터이다. ... 가령 1번 Cds 셀 회로가 2번 Cds 셀 회로보다 더 큰 전압값을 입력하였다면 태양은 1번에 더 가까이 위치해 있는 것이므로, 몸체는 시계 방향으로 1스텝각 움직일 것이다. ... 측정된 아날로그 전압들은 디지털 신호로 변환되어 내장 프로그램으로 입력되고, 프로그램은 측정된 두 전압 크기를 비교하여 더 큰 입력값을 받은 Cds 셀 회로의 방향으로 몸체를 1 스텝
    리포트 | 5페이지 | 4,000원 | 등록일 2021.06.11
  • 한글파일 제어계측공학과 졸업작품『라인트레이서』
    모터 구동부 작품에 사용된 모터는 Pulse motor라고도 불리는 Step motor를 사용하였다. ... Motor부의 구성 및 구성도 - 가장 핵심적인 2개의 스텝모터 - 각각의 모터의 정 전류 드라이버 _ SLA7024 - 각각의 각종 소자들로 구성 모터의 구동방법 - 유니폴라(Unipolar ... Step motor는 주어진 펄스 수에 비례하여 축의 회전량이 얻어지는 모터이다. 1개 펄스당 회전량이 사용가능한 범위의 부하에서는 부하의 크기에 상관없이 일정하므로 펄스 수에 대응하는
    논문 | 15페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 워드파일 광전자공학 6주차 조별 보고서, 프리즘 분광기
    따라서 기어를 사용하지 않고 일반적인 스텝 모터만 이용한 조보다 50배 높은 분해능으로 노이즈가 거의 없는 데이터를 얻을 수가 있었다. ... 측정 데이터에서 광센서가 각각 레이저의 피크 지점을 지나가는 구간 사이의 Step의 수를 읽으면 Blue(1832 Step) – Red(449 Step) = 총 1383 Step이다 ... 기어비 50:1의 웜기어를 사용하였으므로 1스텝을 총 50개의 마이크로 스텝으로 나눌 수 있었고, 따라서 웜기어가 이상적으로 작동한다면 펄스 1스텝당 0.00045도로 매우 정밀하게
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 한글파일 모터제어/로보틱스 실험보고서
    /06/motor-fundamentals.html#section--256953475 -SERVO 모터 (블로그, 지식백과, NI 기술백서) http://blog.daum.nent ... STEP 모터 ?구동원리 스테이터에 통합된 자극 휠은 항상 로터의 1개의 N극(또는 S극)이 스테이터의 S극(또X) 6. 매우 견고함(모든 환경) 7. ... STEP 모터보다 비싼 가격 2. 개방 루프 구성으로는 작동할 수 없음 3. 제어 루프 파라미터 튜닝 필요 4.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.05.04
  • 한글파일 메카트로닉스 실험 레포트 (A+ 레포트) 이론정리
    모터를 천천히 동작시켜 보면 아날로그 시계의 초침과 같이 어떤 정해진 각도를 스텝을 밟듯이 움직이기 때문에 Stepping Motor라고 한다. ... 다음은 전류 제어방식의 비교를 나타낸 표이다. 4-3 STEPPING 모터의 특징과 제어 스테핑모터는 복수의 영구자석을 N극과 S극이 서로 마주 대하도록 회전자에 부착한 모터이다. ... DC 모터, BLDC 모터, STEPPING 모터의 특징 4-1 DC모터의 특징과 제어 DC모터의 회전속도를 제어하터는 브러쉬리스 DC 모터의 약자이며 구조적으로 DC모터와 역의 관계로
    리포트 | 13페이지 | 4,500원 | 등록일 2019.09.02 | 수정일 2022.01.04
  • 한글파일 경북대 모터제어 및 로보틱스
    모터의 종류 3가지를 조사하고, 구동원리 , 각 모터의 장단점을 조사하세요. -DC모터 1. DC모터란,-motor.co.kr/MOTOR/TEXT.htm 장점 단점 1. ... Stepping 모터의 구동원리 일반적인 DC모터와는 달리 스테핑 모터의 내부에는 축을 중심으로 여러 개의 코일들이 전자석들의 조각들을 형성하고 있다. ... Stepping 모터의 장단점 https://m.blog.naver.com/ricedaum/30033599287 3.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.27
  • 한글파일 명지대학교 산업경영공학과 스마트 생산과 자동화 4주차 강의내용
    전기모터 : 직류(DC) / 교류(AC) / 스테핑(Stepping)모터 직류(DC)모터의 동작원리 : 플레밍의 왼손법칙 전위의 차이를 띄는 두 고정자 사이에 코일(첫 번째 그림의 ... 수 있게 된다. : DC서보모터 AC모터(3상 유도전동기)_induction motor : 마주보는 ABC 세 쌍의 전자석 고정자에 교류형 전류를 흘려보내고 시간에 따른 파형의 변화를 ... 하지만 정해진 스텝만큼의 동작만 지원하기 때문에 정해진 각도 이상의 초정밀 조정은 이루어질 수 없다는 단점이 있다. 회전운동을 직선운동으로 변환하는 메커니즘 : a.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.10.10
  • 한글파일 제어공학 프로젝트 (서보모터 위치제어) (건국대학교) (A+자료)
    ]; den=[0.00938 1 0]; t=0:0.01:500; step(num,den,t) Plant Unit Step Response 플랜트의 전달함수로부터 단위계단응답이 S자 ... sec/rad 전기자 저항 Ra 1.4 Ω 전기자 인덕턴스 La 0.0027 H 모터의 이득상수 Km 4.189 (1/kb) 모터의 시정수 Tm 0.00938 (RaJm/ktkb) ... {s(T _{m} s+1)} = {4.189} over {s(0.00938s+1)} = {4.189} over {0.00938s ^{2} +s} 이를 이용하여 모터와 드라이버 및 PID
    리포트 | 10페이지 | 1,500원 | 등록일 2020.06.10
  • 한글파일 기계과 레포트 - Motoro(모터)
    스테핑 모터(Stepping motor) 스테핑 모터스텝 모터, 펄스 모터 등으로 불려 지기도 하는 모터로서 이것을 직역하면 보진(步進)전동기 또는 계동(階動) 전동기라고 할 수 ... 소비전력 낮고, 스텝 당 각도 정밀도 높다. ... 이와 같이 모터에는 반드시 전류가 흘러야 하는데, 전원이 직류하면 DC모터(Direct Current Motor), 전원이 교류하면 AC모터(Alternating Current Motor
    리포트 | 17페이지 | 4,000원 | 등록일 2020.05.29 | 수정일 2022.03.21
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업