• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 181-200 / 304건

  • 한글파일 Simulink를 이용한 PMSM 속도 제어
    원점에 pole을 가지게 되므로 step input에 대해서 정상상태오차를 거의0으로 만들어 줄 수 있다. ... 입력) Step time은 0, Final value는 3000으로 입력 Step(부하) PMSM모터에 입력되는 토크 값을 지정해주는 것으로 모터의 정격인 111N 보다 작은 값(22 ... 설계요소 16번 모터 111Nm 560Vdc 3000RPM-26Nm Gain값에 30/pi값 입력 (전기각과 기계각의 차이 때문) PMSM에서의 Wm(rotor speed)를 PI제어기의
    리포트 | 13페이지 | 3,000원 | 등록일 2011.01.20
  • 워드파일 베터리 기술
    LG화학에서 제시한 미래형 배터리 모델들은 휘는 디스플레이에 호환될 수 있는 커브드(Curved) 배터리, 앞으로 다양한 형상으로 제작될 수 있는 계단 형태의 스텝드(Stepped) ... 그 시작으로 내연기관과 전기 모터, 배터리가 융합된 하이브리드 자동차를 개발하였고, 제품들을 이미 판매하고 있다. ... 더 나아가 post li ion battery 로써 Li air, Li-S, Na, Mg 전지들에 대한 연구가 대기업과 학계 연구소를 중심으로 활발히 진행되고 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.28 | 수정일 2017.12.16
  • 한글파일 청소로봇 졸업작품
    모터 제어부(STEP MOTOR, DC MOTOR) 로봇의 정확한 이동을 하게 해주는 부분으로으로 STEP Motor 를 사용하여 제작 한다. ... 모터는 2상으로 이며, 각 클럭마다 1.8도씩 회전. 3. 1.8도씩 제어가 가능하므로 정밀한 이동 가능. ※ 스텝모터 회로 스텝모터는 2개를 사용했서 위와 같은 동일한 회로가 2개이다 ... ; } void Port_IO_Init() { SFRPAGE = CONFIG_PAGE; P0MDOUT = 0x00; //포트 날아 간것 P1MDOUT = 0x7C; //Step motor
    리포트 | 20페이지 | 2,000원 | 등록일 2010.05.23 | 수정일 2013.12.20
  • 한글파일 Stepping Motor회로 실험 결과보고서
    실험 #3 Stepping Motor ⑴. ... 씩 움직이는 모터로, 펄스 모터 혹은 스텝 모터라고도 한다. ... 스텝 4이후에는 계속 반복이 되기 때문에 스텝을 1에서 4까지만 구해주면 된다. 그러므로 2상에서 배열은 6, 12, 9, 3으로 스테핑 모터의 운동을 확인할 수 있었다.
    리포트 | 12페이지 | 3,000원 | 등록일 2010.12.15
  • 한글파일 스텝모터종류 조사 및 가/감속 운전 프로그램
    Stepping motor의 개요 최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치 제어 때문에 OA, FA 등에 ... 많이 사용되고있다. stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고회전자쪽에는 50회전자 이빨, 고정자 쪽에는 48의 고정 이빨이 각각 ... LcdInit();// LCD 초기화 StepmInit(ONE_PHASE);// 스텝모터 모듈 초기화 // LCD에 시간 지연 표시 LcdMove(0, 0); LcdPuts("Motor
    리포트 | 13페이지 | 1,000원 | 등록일 2008.06.10
  • 한글파일 [전자공학]스테핑 모터 제어
    ; delay(delay_time); } for (j = 0; j < 100; j++) for(i=0; i ... ; while(1) { for (j = 0; j < 100; j++) for(i=0; i ... 2상 여자, 1-2상 여자 : 주로 사용되며, 여자 방식에 의한 스텝 각 차이 때문에 2상여자를 풀스텝(full-step) 구동, 1-2상 여자를 하프스텝(half-step) 구동이라고
    리포트 | 9페이지 | 3,000원 | 등록일 2006.09.28 | 수정일 2016.08.04
  • 한글파일 [제어시스템설계] 근궤적법을 이용한 서보모터 설계
    구해보았던 각각의 제어게인 값( , , )의 변화에 따른 폐루프 제어시스템의 성능 및 안정도를 실제 서보모터를 통해 실험적으로 검토한다. 2 시스템에 대한 근궤적과 제어게인에 따른 스텝응답 ... Step Response 곡선을 나타내 보았다. ... 실제의 모터는 Transfer function 보다 변위가 작고 항상 느리다는 것을 확인 할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.01.22
  • 한글파일 [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    스텝 모터(step motor), 스텝모터(stepper motor), 스텝모터(stepping motor), 펄스 모터(pulse motor)는 같은 의미로 사용됩니다. ... 그래서 이번 Project에서 이전의 아쉬웠던 부분들을 보완하고 추가적으로 세밀한 컨트롤 기능을 더하기 위하여 Step모터를 사용하여 보다 정교한 신호제어를 언어로는 VHDL을 그리고 ... 일반적으로 회전 운동을 하는 경우 스텝 모터라 부르고, 직선 운동을 하는 경우에는 리니어 스텝 모터라 부릅니다.
    리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • 한글파일 스텝모터
    그래서 모터의 평균 전류를 일정하게 만든다. { 그림 7 8. stepping motor의 구동 Stepping motor 의 구동부에 대한 설명은 아래와 같다. o pulse 발진기 ... 영구 자석의 종류에 따라 step 각이 분류되어 있 으며, step각도가 큰 90˚, 45˚의 motor에는 일반적으로 알니코계의 자석을, 18˚, 15 ˚, 7.5˚등의 motor에는 ... 있으며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다.
    리포트 | 10페이지 | 무료 | 등록일 2001.09.13
  • 워드파일 파킨슨병 병태생리 및 간호과정
    발의 총 스텝 수를 말하며, Second score란 15 피트가고 15 피트 돌아오는데 걸리는 초 단위 시간을 말한다. ... of Therapy)등으로 구성되며 전체 42 항목으로 이루어져 있다. (3) Step-Second test Steps score는 15 피트를 갔다가 15 피트 돌아오는데 오른쪽 ... Behavior and Mood), ②2매일 생활의 활동도(Activities of Daily living), ③운동 검사(Motor Examination), ④치료의 부작용(Complications
    리포트 | 40페이지 | 3,000원 | 등록일 2014.05.19
  • 한글파일 [공학]스테핑모터 구동회로
    Stepping motor의 개요 최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치 제어 때문에 OA, FA 등에 ... digital적으로 제어하는 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게다른 점은 모터의 ... 코일로 이루어진 4상 스텝 모터의 구동회로를 제작하고 여러 가지 모드에 의한 동작을 이해하고 분석한다. ■ 스테핑 모터의 기본이론 1.
    리포트 | 9페이지 | 1,000원 | 등록일 2006.11.22
  • 한글파일 [제어공학설계실험]실험3 결과
    이는 step입력에서의 발산할때와 유사한 양상을 보였다. ... 또한 각 입력별(step, ramp, sin) 특성에 대해서 관찰해보고, 추후 진행될 실험을 위해서 정확한 파라미터값을 찾아낸다. 2. ... **모터 전압을 증가시킬경우 -----> 진자의 최종각도가 커진다. 모터의 전압을 증가시키면 모터가 빠르게 회전할것이고, 이에 맞게 진자의 최종값은 커지게 될 것이다.
    리포트 | 18페이지 | 3,000원 | 등록일 2011.09.25
  • 한글파일 ATmega128을 이용한 라인트레이서 결과보고서(소스포함)
    =0x00; } if(a==1) { Step_Motor=motor1[f++]; TCNT2 = 185; // 직진 할 때 속도 if(f==8) f=0; } if(a==2) { Step_Motor ... =motor1_right[r++]; TCNT2 = 185; // 우회전 할 때 속도 if(r==8) r=0; } if(a==3) { Step_Motor=motor1_left[l++] ... SLA 7026은 최대 피크 전류 3A, 운전전류는 1.5A이며 최대 46V 1.5A의 스텝 모터를 구동할 수 있도록 제작된 유니폴작이나 배터리 교환, 경미한 수리 이외에는 일체의
    리포트 | 18페이지 | 1,500원 | 등록일 2010.06.13
  • 파워포인트파일 PID 제어기를 이용한 직류 전동기 제어
    PID 제어기를 사용한 DC 모터 제어 전달함수 설계 사양 정의 - DC 모터의 3차 전달함수 정상상태 오차 0.01 : step 입력인 경우 Percent overshoot : 4.3% ... PID 제어기를 사용한 DC 모터 제어 Step response Percent overshoot가 너무 높음 3. ... PID 제어기를 사용한 DC 모터 제어 PD 제어기 설계 Y(s) - + R(s) 3.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.12.09
  • 파워포인트파일 각종 센서와 모터를 이용한 창문 자동 개폐 시스템
    n s s n s n Stepping motor 제어 방식 1-2상 여자 방식 스텝1 스텝2 스텝3 스텝4 스텝5 스텝6 스텝7 A 1 1 0 0 0 0 0 B 0 1 1 1 0 ... A B' → B A' → A B' → B 회전자 위치 n s s n ns n s n s n s n s s n Stepping motor 제어 방식 2상 여자 방식 스텝1 스텝2 스텝3 ... 동기가 되지 않을 수 있음 고속회전이 곤란함 저속회전 시에는 진동이 발생함 소비전력이 큼 Stepping motor 제어 방식 1상 여자 방식 스텝1 스텝2 스텝3 스텝4 스텝5
    리포트 | 25페이지 | 2,000원 | 등록일 2008.11.15
  • 한글파일 제어공학 - (MATLAB) PID controller 설계
    설계 목적 주어진 system에 모터가 %OS : 16%에서 settling time : 2sec를 갖고 ramp 입력에 대하여 zero steady-state error로 동작하도록 ... 근궤적 및 step response [그림 5] 근궤적 [그림 6] step response Ⅵ. ... T=feedback(K*G,1) figure(2) step(T) % step response
    리포트 | 9페이지 | 2,000원 | 등록일 2011.04.28 | 수정일 2017.12.19
  • 워드파일 Design DC motor controller
    For a unit- step input, C(s) can be written ‘ The inverse Laplase transform of upper equation can be ... Step Input r(t) = 1 Ramp Input r(t) = t Parabolic Input r(t) = Hyperlink "http://www.engin.umich.edu/ ... T : motor Torque TL : load torque eb : back emf voltage When Ia starts flowing the motor circuit, motor
    리포트 | 14페이지 | 2,500원 | 등록일 2010.12.16
  • 한글파일 [라인트레이서] 라인트레이서 최종보고서 (학술제 출품작)
    ) r_phase_cnt=0; else r_phase_cnt++; //풀스텝일 때 8가지 상을 반복적으로 넣어주기 위하여 step_status=step_status|RIGHT; // ... 가감속시 양 모터가 한스텝움직였는지 체크 if(step_status==BOTH) acc_speed(); //위에 조건이 맞으면 가감속 루틴 실행 mark_chk(); //라인옆에 마크를 ... 구동법 스텝모터구동 -스텝 모터의 구동은 타이머 0,2의 오버 플로우 인터럽트를 이용하여 포트A에 양쪽 모터의 상을 출력함으로써 이루어진다.
    리포트 | 29페이지 | 2,000원 | 등록일 2007.09.30
  • 한글파일 자동제어 제어기 설계
    제어기 설계 ① 비례(P) 제어 우선 비례 제어로서 K값을 주어서 스텝응답을 알아보았다. 그 결과 K값이 증가함에 따라 응답시간이 단축된다는 것을 알 수 있었다. ... 그래서 Step입력을 주어서 원하는 응답을 구하고자 하였다. 그 결과 위와 같은 응답이 나왔는데, 목표치에 도달하는데 걸리는 시간이 60초가 소요되는 것을 알 수 있다. ... 구동기와 팔 부분은 수업시간에 다루었던 전기모터의 모델링을 사용할 것이고 헤드부분은 헤드에 부착된 휨 판이 스프링 역할, 헤드 끝부분의 마찰이 감쇠의 역할을 한다고 가정하였다. ①
    리포트 | 10페이지 | 1,500원 | 등록일 2012.12.17
  • 한글파일 모터제어
    - 스텝 모터(stepping motor, pulse motor, stepper motor)는 다른 AC servo, DC servo motor에 비하여 정확한 각도제어에 유리하여 ... 현재 우리 주위에 많이 쓰이고 있다. - 스텝 모터는 디지털 펄스를 기계적인 축 운동으로 변화시키는 변화기이며, 펄스는 디지털 source에 의해 가해진다. + 매 펄스 수에 따라 ... 스텝모터가 사용되는 곳 1) 컴퓨터의 출력장치 2) CD롬 3) 프린터, 팩스 4) 사무용기기 - RC Servo Motor - 1. 서보모터란?
    리포트 | 10페이지 | 1,000원 | 등록일 2008.04.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업