• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 41-60 / 304건

  • 한글파일 모터제어 레포트 (제어용기기)
    2019학년도 제어용기기 레포트 애니매트로닉스에 사용되는 모터 (서보모터 & 스테핑 모터) Motors used for animatronics (Servo motor & Stepping ... 1) 서보의 어원 ‘slave’나 ‘servant’의 의미를 가지며 명령에 충실하게 추종하는 제어용 모터를 의미하고 있다. 3.2 DC서보모터와 AC서보모터 1) 서보모터의 종류 - ... motor) 과목명 : 모터제어실습 담당 교수 : 소속 학과 : 학년 반 : 학번 : 이름 : 목 차 1.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.11.11
  • 한글파일 자기실 4회 레포트_자동차전자제어
    컴퓨터는 이 신호를 기준으로 앤티 스쿼트(Anti Squat), 변속 스쿼트(shift Squat) 등을 실행한다. 14) 스텝 모터(Step Motor) - 스텝 모터는 각각의 쇽업소버 ... 컴퓨터는 자동차 운행 중 쇽업소버의 감쇠력을 변화시켜야할 조건이 되면 스텝 모터를 회전시키고 스텝 모터가 회전하게 되면 스텝 모터와 연결된 제어 로드 (control rod)가 회전하면서 ... 쇽업소버의 감쇠력 제어에는 Super soft, Soft, Medium, Hard 등 4단계로 제어되며, 자동차 높이 조절은 Low, Normal, High, Extra High 등
    리포트 | 2,000원 | 등록일 2020.12.01
  • 한글파일 제어계측공학과 졸업작품 『가스밸브 자동 잠금장치』
    스테핑 모터(Stepping Motor)는 Step Motor 혹은 Reluctance Motor 등으로 불리워지고 있으며 산업용으로 뿐만 아니라 아날로그 시계에 이르기까지 광범위하게 ... 그러나 스테핑 모터의 경우에는 위치 제어구조가 개루프(Open Loop Control)구조를 가지고 있으며 스테핑 모터의 기계적인 스텝각을 기준으로 회전을 하기 때문에 스테핑 모터에 ... 입력되어지는 스텝각을 기준으로 보면 현재의 회전위치를 판별할 수 있도록 되어 제어하기 쉽기 때문에 적용비용이 저렴하고 스테핑 모터가 이송되어 정위치에 이르게 되면 더 이상의 위치
    논문 | 30페이지 | 5,000원 | 등록일 2020.05.31 | 수정일 2020.06.08
  • 파워포인트파일 A+레포트 임베디드시스템+11주차+결과+리포트 로봇학부
    모터의 전기각과 기계각과의 차이점을 알게 되었다 . 스텝모터 , DC 모터를 돌려봤었는데 BLDC 는 직접 돌려 본적이 없었다 . ... 모터의 극수가 10 개 이므로 NS 1 쌍씩 5 개를 갖게 되고 6 STEP 으로 동작하므로 6*5=30 이 된다 . ... 또한 s3 버튼을 누르면 모터의 회전방향을 바꿀 수 있었다 . 17 1) Sensored BLDC Motor Running Open Loop 실습결과 Build All, Programming
    리포트 | 27페이지 | 4,000원 | 등록일 2019.08.18
  • 워드파일 [A+] 인천대 기계공학실험 제어실험 레포트
    Plot results of the open-loop responses (pitch angle with respect to time) with various gains of the step ... ◆Steps in PID Control • P Control : It is controlled by applying an input proportional to the error ‘ ... The purpose of this experiment is to maintain control by tilting the drone with a DC motor to the desired
    리포트 | 4페이지 | 3,000원 | 등록일 2023.03.28
  • 한글파일 전기기사, 전기산업기사 약어 정리의 모든것!! 깔끔한 표로 정리한 BEST 자료!!
    압력계전기 Pressure Relay PWR 전력계전기 Power Relay R 계전기 Relay RCR 재폐로계전기 Reclosing Relay SOR 탈조(동기 이탈) Out-of-step ... M 전동기 Motor MG 전동발전기 Motor-generator OPM 조작용전동기 Operating Motor RC 회전변류기 Rotary Converter SEX 부여자기 Sub-exciter ... Relay, Step-out Relay SPR 속도계전기 Speed Relay STR 기동계전기 Starting Relay SR 단락계전기 Short-circuit Relay SYR
    시험자료 | 6페이지 | 2,000원 | 등록일 2020.11.06
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    그림 6-3: TIMx_SR의 register descriptions line 46-56은 step 5에서 보았다시피, TIM1_, LED를 toggle시킨다. ... 출력 신호 폭을 조절해서 PWM 출력도 생성할 수 있어서, motor를 제어할 수 있다. STM32F103xx는 7개의 timer를 내장하고 있다. ... STEP 17: 그림 17-1: program 9.3 code program 9.3은 스위치를 통해 전달되는 external trigger를 counter clock으로 사용하는 프로그램이다
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 한글파일 메카트로닉스 Stepping 모터 실험 보고서
    Stepping Motor & BLDC Motor & DC Motor 의 장단점 비교 Motor 종류 장 점 단 점 Stepping 모터 기동 토크가 크다. ... STEP 모터 사인파 ☞ 사인파 제어는 모터 1에 대하여 주기와 진폭 값을 (800, 2000), (800, 7000), (300, 1500), (0,0) S1 값을 주며 그래프를 ... 입력 Pulse 수에 대응하여 일정 각도씩 움직이는 모터로, Pulse 모터 혹은 스텝모터라고도 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.04.21
  • 파일확장자 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) STM 제어
    먼저 stepping motor란 입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터로, 펄스 모터 혹은 스텝 모터라고 불린다. ... 이번 실습의 목표는 Stepping motor에 대해 알아보는 것이다. ... 창에 숫자 입력을 하게 되고 각 숫자에 부여된 의미에 맞게 Stepping motor에 forward나 reverse, 회전수의 변화를 주어 구동원리를 살펴보게 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.07.05
  • 한글파일 2017 경북대학교 전기전자실험 모터제어,로보틱스 A+보고서
    이때 회전각은 스텝각이라고 부른다. 스텝각을 작게하면, 모터의 위치를 결정하는 정밀도를 향상시킬 수 있다. ... 요약하면 step모터는 한 바퀴의 회전을 많은 수의 step들로 나눌 수 있는 브러쉬리스 직류 전기 모터이다. ... 위에 그림은 1상 여자의 3상 방식 step모터다. step 모터의 기본 구조는, 회전축에 부착된 자석(로터), 그 바깥쪽에 고정된 전자석(stator)로 구성되며 stator에 감겨있는
    리포트 | 20페이지 | 1,500원 | 등록일 2017.12.30
  • 한글파일 자동제어실험 결과 스텝모터 RC서보모터
    펄스를 반만 이용하는 것으로 펄스 두 개가 들어와야 모터가 한 스텝 동작하며 Full Step은 CLK로 들어오는 펄스를 모두 이용하는 것으로 펄스 한 개당 모터가 한 스텝으로 동작한다 ... ), Fixed-step size를 0.01로 설정 ② 라이브러리(Library)에서 블록 이용 ③ ELVIS Ⅱ, 서보모터 연결을 통한 회로구성 완성된 회로 측정을 위한 모터 설정 ... 스텝모터, RC서보모터 1. 실험 목적 - 스텝모터의 원리이해 및 제어 - RC 서보모터의 원리이해 및 제어 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.11.29
  • 한글파일 스테핑 모터(stepping_motor)
    스테핑 모터(Stepping motor) 스테핑 모터(Stepping motor)는 스텝 모터, 펄스 모터 등으로 불려지기도 하는 모터로서 이것을 직역하면 보진 전동기 또는 계동 전동기라고 ... 스테핑 모터(Stepping motor) 2. 스테핑 모터(Stepping motor)의 구조 3. 스테핑 모터(Stepping motor)의 특징 4. ... 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한
    리포트 | 14페이지 | 2,500원 | 등록일 2011.06.22
  • 파워포인트파일 인하대 기계공학일반설계 연료전지 자동차- 중간발표ppt
    Required RC car component 1.PEMFC RC Car component explanation Motor 연료전지로부터 힘을받아 자동차를 구동시키며 , 브러쉬드모터와 ... 브러쉬리스모터로 구분 2. ... by step Future Plan After this report, the future plan of PEMFC RC Car making team 3 1.PEMFC RC Car
    시험자료 | 21페이지 | 6,000원 | 등록일 2019.04.27
  • 한글파일 기초전기전자실험 보고서 - 모터제어, 로보틱스
    입력 pulse 수에 비례한다. - 1step당 각도 오차가 5% 이내이며 회전각의 오차가 step마다 누적되지 않는다. - 정지 시에 높은 유지토크로 위치를 유지할 수 있으며, ... 구조의 Stepping Motor는 오랜 수명을 갖도록 설계되어 있으며 실질적으로 Stepp 동작이 된다. ... 그런데 모터의 특성에서는 스텝각은 15도이지만 여기선 7.5도이다. 어째서일까. 실은 1-2상 여자는 1상,2상과는 달리 스텝각이 절반 각도 ( 하프 스텝 ) 이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2017.09.04
  • 한글파일 아주대학교 자동제어 실험5 서보모터 RC모터 예비보고서
    실험 원리 1)스텝모터의 원리와 특성 스텝모터(Step Motor)는 Stepping Motor 혹은 Reluctance Motor 등으로 불리고 있으며산업용으로 뿐만 아니라 아날로그시계에 ... 따라서 실험에 사용되는 모터의 데이터 시트를 참고하면 x/step 의 정보를 얻게 될 것이고, 이 스태핑 모터를 이용하여 Elvis로 인가한 2스텝당 각도 변화율을 곱해주면 입력한 ... 구동방법에는 Half/Full이 있다. half step은 인가되는 펄스를 반만 이용하여 CLK와 비교후 출력하는 구동방식 으로 펄스 두 개 들어와야 모터가 한 스태핑 동작한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.10.10
  • 워드파일 연세대학교,전력및제어공학실험, 제어실험 2주차
    DC Motor Modeling in the Inverted Pendulum and Its Step Response 1.OBJECT - Understand the transient ... Since the initial position of the motor is 0 in the simulation or experiment and the step input is set ... or experiment and the step input is set so that it reaches 30 cm after 1 second, the settling time peak
    리포트 | 16페이지 | 10,000원 | 등록일 2018.12.16
  • 한글파일 스텝모터 돌리기 예비보고서
    [스텝모터 돌리기] [실험 이론] ① 스텝모터(Step Motor) - 스테핑 모터(스텝모터, 펄스 모터) : 스텝(step) 상태의 펄스(pulse)에 순서를 부여함으로써 주어진 ... (Full step) - 구동방법 - 스텝모터를 구동하기 위한 최소한의 구동 방법 - STEP_A -> STEP_/A -> STEP_B -> STEP_/B -> STEP_A - ... //채터링 방지 unsigned char flag_cnt=0; 1) 스텝모터 포트 선언 - 매크로 상수 S_MOTOR_DDR, S_MOTOR_DATA_OU : 스텝모터와 관련된 입출력
    리포트 | 6페이지 | 1,500원 | 등록일 2015.11.23
  • 한글파일 모터
    Pulse에 따른 Motor의 불안정성(공진과 불 안정현상) 한 스텝스텝시의 로터의 움직임은 그림 41과 같이 진동이 적은 것이지만, 비교적 느린 펄스 주파수로 정상적인 운전을 ... S = 미끄럼율(2 ~ 5) ① 예제) 5마력의 모터가 극수는 4극 50Hz을 사용할 경우 모터의 회전수는 얼마인가 ? ? ... 회전수(RPM) = 120 X 주파수(f) / 극수(P) ( 1 - 미끄럼율(S) / 100) ? RPM = 120 X f / P X (1 - S / 100) ? 용어 ?
    리포트 | 45페이지 | 5,000원 | 등록일 2018.08.27 | 수정일 2022.03.21
  • 한글파일 자동제어실험 예보 모터
    Half/Full은 Half Step과 Full Step을 위한 입력으로 half step이란 CLK으로 들어오는 펄스를 반만 이용하는 것으로 펄스 두 개 들어와야 모터가 한 스텝 ... 데이터 시트에 스텝당 각도 이동은 1.8/step으로 이와 비슷하게 각도가 변화가 나올 것으로 예상된다. - 두 번째 RC서보모터 실험은 모터에 펄스를 인가해보고 펄스에 따른 회전각을 ... Stepping motor는 그 구조상 세가지로 분류할 수 있다. (1) VR형(variable reluctance type : 가변 리럭턴스 형) (2) PM형(permanent
    리포트 | 3페이지 | 1,000원 | 등록일 2015.05.04
  • 워드파일 자동제어실험 스테핑모터
    스텝모터의 구동 드라이버 일반적으로 많이 사용되는 step motor 구동회로는 SLA7024칩을 이용하는 방법과 L297, L298을 복합으로 사용하는 방법이 있다. ... A°step : Step Angle Nph : 회전자 극수 Ph : 고정자 위상수 스테핑 모터는 일반적으로 구형파의 전압으로 각 위상에 전력을 공급하는데, 이때 회전자의 위치는 스텝각만큼 ... 스테핑 모터(Stepping motor) 스테핑 모터란?
    리포트 | 7페이지 | 1,500원 | 등록일 2010.03.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업