• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 121-140 / 304건

  • 한글파일 [모터] 스테핑모터의 종류 및 구동방식 ( Stepping Motor )
    Stepping Motor 1.stepping motor의 개요와 구조 및 원리 ①개요 stepping motor는 연속적인 운동보다는 일정의 크기의 이산적인 각 운동을 하는 구 동장치로 ... . ③원리 회전자와 고정자 사이의 흡인력 혹은 반발력에 의해 움직임. 2. stepping motor의 종류 1)Permanet Magnet Type Stepping Motor (P.M ... Step 각: Detent 위치화 다음의 Detent 위치가 이루는 각. ⅳ. R = R:각 , m:고정자 상수, N: step 수, P: 회전자의 자극 쌍수 ⅴ.
    리포트 | 7페이지 | 1,000원 | 등록일 2004.10.29
  • 한글파일 인공위성 추적기 구동모터에 대한 보상기 설계(Lead, Lag Compensator design)
    [1 15 50 10 0]); >> step(g, 'b') >> hold on >> grid on >> title('Step response of closed-loop system' ... 이때의 Unit-step, Unit-ramp 응답은 다음과 같다. >> g = tf([0 0 0 10],[1 15 50 10]); >> g_ramp = tf([0 0 0 0 10], ... 자세의 변환을 위해서 안테나는 전기 모터를 주로 사용한다. 이러한 모터는 센서로부터 정보를 받아서 이동하게 된다. 이 때 주어진 문제에서 전달함수는 다음과 같다.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.12.11
  • 한글파일 AVR ( Timer Interrupt를 이용한 Step motor 제어)
    실험 제목 - AVR ( Timer Interrupt를 이용한 Step motor 제어) 3-2. ... 실험 제목 - AVR ( Timer Interrupt를 이용한 Step motor 제어) 3-2. ... /모터에 상 출력 step++; if(step>1000){//1000스텝(5바퀴) 전진후 종료 stop=0;//무한루프 종료 } outp( 155,TCNT0);//타이머 반복을 위해
    리포트 | 7페이지 | 1,000원 | 등록일 2009.08.10
  • 파워포인트파일 디지털 도어락 설계 PPT
    부품 수를 최소화하여 신뢰성이 높다 • 저렴한 가격 Step Motor CLCD • CL CD(Character lcd module) • LCD 패널과 제어기가 하나로 되어 있는 ... 입력과 출력을 제어하는 프로세서 • 가격이 가장 저렴 , 사용이 편리함 • 가장 기본적인 8051Core 를 가지고 있으며 , 인터럽트를 처리하는 방식이 효율적임 . ( 실시간으로 스텝모터를 ... . • 한 개의 입력 펄스에 따라 일정한 각도로 회전 (→ 로봇 등 자동제어에 적합 ) • 펄스신호의 주파수에 비례하여 회전속도를 얻을 수 있다 . (→ 속도제어 광범위 ) • 모터
    리포트 | 10페이지 | 1,000원 | 등록일 2013.03.15
  • 한글파일 스텝모터제어
    )스텝모터의 장점 ① motor의 총 회전각은 입력 pulse수의 총 수에 비례하고, motor의 속도는 1초간 당의 입력 pulse 수에 비례한다. ② 1 step 당 각도 오차가 ... 영구 자석의 종류에 따라 step 각이 분류되어 있으며, step각도가 큰90o, 45o의 motor에는 일반적으로 알니코계의 자석을, 18o, 15o, 7.5o등의 motor에는 ... 최근에 stepping motor(pulse motor, stepper motor)가 가지는 정확한 위치 제어 특성 때문에 많이 사용되고 있다.
    리포트 | 26페이지 | 2,500원 | 등록일 2008.12.15
  • 한글파일 [마이크로프로세서] 라인트레이서 RTX-51 사용 (설계)
    -변수 선언부- 2상 스텝 모터 구동을 위한 출력배열과 타이머 및 유턴 변수를 선언해 주었다. ... -task_1- task_1 부분은 직진부분으로 task_0에서 보낸 신호를 받아 step모터의 2상 구동 배열을 써서 직진을 수행하게 된다. task_3은 유턴부분으로 task_0의 ... 유턴은 카운터를 써서 그 수가 되면 유턴은 수행하고 타이머 변수는 초기화 된다. task_4 부분은 직진부분으로 task_0에서 보낸 신호를 받아 step모터의 2상 구동 배열을 써서
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.09
  • 한글파일 전기전자 응용실험_8주차 결과 보고서
    Control of the Step Motor Result & Analysis By the step pulse, made by HSO, the step motor is operated ... DC and Step Motor Control Ⅰ. ... of the Step motor. < Figure 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 전기전자 응용실험_8주차 예비 보고서
    Control of the Step motor We set the HSO.0 to HSO.3 to make the step pulse. ... By the step pulse, step motor is operated with phase differences. ... And using HSO, control the speed and direction of a step motor. 2. Program Understanding ⅰ.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 PWM을 이용한 스텝모터 제어
    461.028Hz 70RPM 3700 629.375Hz 90RPM 2700 853.548Hz 125RPM 스테핑모터 [stepping motor] 펄스 신호를 줄 때마다 일정한 각도씩 ... STEPPING모터의 적용 예 스테핑 모터스텝모터라고도 불리우며 산업용뿐만아니라 아날로그 시계에 이르기 까지 광범위하게 사용되고 있다. ... 입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터로, 펄스모터 혹은 스텝모터라고도 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.03.26
  • 파워포인트파일 기계공학실험 - Final Term Project (PIC BASIC을 통한 시스템 제어)
    실험이론 Step Moter 란 ? 입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터 입력 펄스 수와 모터의 회전각도가 완전히 비례하므로 회전각도를 정확하게 제어할 수 있다 . ... 회로구성사진 회로구성사진 Step motor Interrupt 물체가 지나갈때마다 ㅁ 카운팅 됨 CONST DEVICE = R5 DIM A AS INTEGER 변수선언 DIM B AS ... 십의 자리 세븐세그먼트 숫자증가 C=C+1 END IF IF C=10 THEN C=0 일의 자리 세븐세그먼트 숫자를 9 - 0 으로 만듬 END IF OUT 3,1 DELAY T 스텝모터구동
    리포트 | 16페이지 | 1,000원 | 등록일 2012.12.12
  • 한글파일 모터제어 기초전기전자 실험 예시
    모터의 장점 ① motor의 총 회전각은 입력 pulse 수의 총 수에 비례하고, motor의 속도는 초 당 입력 pulse 수에 비례한다. ② 1 step당 각도 오차가 3분 이내이며 ... Stepping 모터 1) Stepping 모터의 구동방식 스텝 모터는 코일에 전압을 가하는 정도에 의해 모터의회전이 결정되는 일반 AC나 DC 모터 같은 구조가 아니라, 펄스를 가함으로써 ... 회전각 오차는 step마다 누적되지 않는다. ③ 회전각 검출에 의한 피드백이 불필요하여, 제어계가 간단해서 가격이 상대적 으로 저렴하다. ④ DC motor 등과 같이 브러시 교환
    리포트 | 7페이지 | 2,000원 | 등록일 2010.01.20
  • 파워포인트파일 모터의 원리와 종류
    ,서보 모터, 유도전동기 Reluctance Motor Stepping Motor Ultrasonic Motor Linear Motor Servomotor • 기타(모터선정시 ... 최근에는 스테핑모터의 위치를 PMSM이 점차 잠식하고 있는 추세. • 모터의 종류 Stepping Motor - 스테핑 모터 (2/2) • 모터의 종류 Ultrasonic Motor ... 학과 학번 성명 제출일자 담당교수 모터의 원리 -플레밍의 왼손법칙 모터의 기원 AC모터와 DC모터의 작동원리 1.DC 2.AC모터모터의 종류 STEP모터, DC Brush Motor
    리포트 | 29페이지 | 2,000원 | 등록일 2013.06.07
  • 한글파일 [레포트A] 전기전자공학개론 모터의종류
    스테핑 Motor 이미지출처: www.motorbank.com 스테핑 Motor(Stepping Motor)는 일명 스텝 모터, 펄스 모터, 스테퍼 모터 등이라고 불려지고 있는데 이것을 ... 브러시리스 Motor 이미지출처: http://blog.naver.com/dokyngo1218 브러시리스 Motor(Brushless Motor)는 DC 모터에서 브러시와 정류자를 ... 유니버설 Motor 이미지출처: www.motorbank.com 유니버설 Motor(Universal Motor)는 DC나 AC로 회전시킬 수 있는 정류자 모터를 말한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.10.10
  • 한글파일 전기전자응용실험 Chapter 8 DC and Step Motor Control Pre-report
    So port 1.0 controls the run/stop of the motor. - program 3: Control of the step motor Step motor is ... The step motor should response to P14~P17 as corresponding function. ... Chapter 8 DC and Step Motor Control Pre-report 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.08.05
  • 한글파일 스텝모터제어 예비 보고서
    이는 비트 4가 Step motor를 제어하도록 설정해 주는 것 입니다. &연산자를 사용하는 이유는 비트 4를 제외한 나머지 비트들에 영향을 주지 않기 위해서 입니다. ... 험 성명 공동 실험자 학번 제출일자 성명 스텝모터제어(스텝모터의 구동) □ 스텝 모터의 특성과 종류 및 구동원리에 대해 설명하시오. ... 전압인가에 따라 폐회로가 형성되면 전류의 흐름이 발생하고 이에 의해 스테이터 철심 A와 철심 /A의 끝 부분에 각각 S극과 N극이 형성 됩니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 한글파일 모터제어
    스테핑 모터(Stepping motor)는 스텝 모터, 펄스 모터 등으로 불려지기도 하는 모터로서 이것을 직역하면 보진 전동기 또는 계동 전동기라고 할 수 있다. ... Stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 각종 OA, FA 장비에 널리 사용되고 있다. ... 스테핑모터의 구동인터페이스 reference : http://sglee.rclab.net/info/step/890.html 4.
    리포트 | 18페이지 | 1,500원 | 등록일 2010.05.17
  • 한글파일 전기전자 응용실험_11주차 예비 보고서
    , DC motor driver (L293, TA7279), step motor, step motor driver (SLA7024, L298, L297), universal boarforce ... The magnetic field on the rotor of this motor is created by an induced current. (ⅱ) Step Motor A stepper ... Stepper motors are similar to switched reluctance motors (which are very large stepping motors with a
    리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 [마이크로프로세서] Step Motor 와 PIT8254를 사용하여 1분알람 stopwatch (설계)
    작품은 이전과 같은 기능을 하지만 다른 기능을 2가지 이상 더 넣어야 했기 때문에 우리는 step모터와 PIT8254를 선택했다. step모터는 마치 아날로그시계처럼 1초마다 일정한 ... 실험을 하면서 어려웠던 부분은 1초가 흐를 때마다 step모터가 함께 움직여야하는데 우리가 이 둘을 각각 따로 구현하여서 다. ... P0_7 /* LCD 연결핀 */ #define LCD_RS P1_4 #define LCD_RW P1_5 #define LCD_E P1_6 /*step motor*/ #define
    리포트 | 10페이지 | 1,500원 | 등록일 2010.12.14
  • 한글파일 스텝모터제어 결과 보고서
    이는 비트 4가 Step motor를 제어하도록 설정해 주는 것 입니다. &연산자를 사용하는 이유는 비트 4를 제외한 나머지 비트들에 영향을 주지 않기 위해서 입니다. ... 험 성명 공동 실험자 학번 제출일자 성명 스텝모터제어(스텝모터의 구동) □ 스텝 모터의 구동에서 각 상들이 동작하기 위해 왜 그렇게 설정을 해주었는지 설명하시오. ... Port1의 P1.0~P1.3에 의한 스텝 모터 제어가 아닌 외부확장 헤더에 연결된 장치(키보드) 를 이용해서 스텝모터를 제어하기 위한 상태보드 레지스터 설정 입니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 한글파일 PID제어
    Control Engineering Design, December 2012 디지털 신호의 원판 모터위치 PID제어 PID control of Digital signal motor position ... =tf(numGG2 ,denGG1) figure(6) sys2=feedback(sys2,1); step(sys2) grid on figure(7) bode(sys2) grid on ... =tf(numG1, denG1); sys1=feedback(sys1,1); step(sys1) grid on % Uncompensated rlocus Bode figure(3) bode
    리포트 | 18페이지 | 4,000원 | 등록일 2013.05.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업