• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 101-120 / 304건

  • 한글파일 스테핑모터의 동작원리 및 장단점
    스테핑모터(stepping motor)란. ... , 3상모터, 4상모터, 5상모터, 6상보터 등으로 분류하고, 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에는 DC servo motor이나 stepping motor가 많이 사용되고 있다.
    리포트 | 2페이지 | 1,500원 | 등록일 2011.05.17
  • 워드파일 릴레이란?
    SMT 슈밑트리거 Schmidt Trigger SOR 탈조 계전기 Out-of-step Relay, 동기 이탈 계전기 Step-out Relay SPR 속도 계전기 Speed Relay ... 아래 사진의 부하가 큰 것(모터 기동 등)에 사용되는 릴레이는 마그네트라는 명칭으로 불리기도 합니다. 어떤 형태의 릴레이라도 동작 원리는 다 같다고 보시면 됩니다. ... OPR 결상 계전기 Open-Phase Relay OR 논리합 Or ORM 복귀 기억 Off Return Memory OSR 과속도 계전기 Over-speed Relay OSS 과속
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.09
  • 한글파일 [기초전기전자실험] 모터제어 / 로보틱스 실험 보고서 <A+받은 자료>
    홀딩 토크가 커서 제 위치를 유지할 수 있다. (4) 기동, 정지, 정.역회전, 변속이 용이하며 응답특성이 우수하다. (5) 회전각도의 오차가 적고, 오차는 누적되지 않는다. - 1step당 ... 있다. http://webcache.googleusercontent.com/search? ... 각도오차가 5%이내이며 회전각의 오차가 Step마다 누적되지 않는다. ⑦ 기계적으로 견고하고, brush 교환 등 보수를 필요로 하지 않아 유지비가 들지 않는다. ▶ 단점 (1)
    리포트 | 16페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 한글파일 VHDL을 이용하여 다양한 state machine 설계
    출력은 네 개의 LED이기에 (3 downto 0)를 사용하며 컴포넌트는 두 개면되고 내부변수는 sec_stepping 하나를 선언해주었다 ... Motor 다음과 같은 회로도에서 CL1~CL4까지 순서대로 전압을 걸어주면 가운데있는 모터가 전자석에 끌려 전압을 걸어주는 순서의 방향으로 회전하게된다. ... 핀 설정을 다음과 같이한 뒤 다운로드하면
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 자동제어실험 예보 Pendulum System
    紡 가정) Step response 응답을 통해 1차 모델에 PID 제어기를 설계한다. ... 회전 도립 진자에는 DC 모터 장착되고 DC모터는 토크에 적용되고 ARM1과 ARM2가 사용된다. 두팔의 길이는 각 각 L _{1}, L _{2}이다. ... ; %전달함수의 분자계수 d = [1 (11.5*kp+13.4)/(1+kd) 11.5*ki/(1+kd)]; %전달함수의 분모계수 psystem = tf(n,d); % tf함수 y=step
    리포트 | 3페이지 | 1,000원 | 등록일 2015.05.04
  • 한글파일 태양 밝기 및 물체와 거리 따른 LED 전동 로봇 제작
    Sleep 모드로도 포트 출력에 연결한다. 0 을 출력할 경우 모터에 토크가 없어진다. ● Step Mode [1:0] 신호 마이크로 스텝스텝 모드를 설정하는 핀이다. ... Input M1 Input M2 Step Mode H H Half Step H L Quater Step L H Eighth Step L L Sixteenth Step ... 클럭 당 모터의 회전 각도는 마이크로 스텝 모드에 따라 다르다.
    리포트 | 26페이지 | 3,500원 | 등록일 2013.06.13 | 수정일 2014.01.06
  • 한글파일 전동기의 종류와 구조 원리
    Stepping motor Stepping motor는 이외에도 Step motor, Pulse motor, Stepper motor등으로도 불리고 있는데 이것을 우리말로 번역하면 ... 이것은 모터의 전력 효율이 일반적으로 낮은 것, 모터를 돌리기 위한 구동회로의 코스트가 높은 것이 크게 관계되기 때문이다. 2상 Stepping MotorSTEPSYN 2」는 소형 ... 스텝모터의 특징을 더 열거해 보면 간혈 초고속 구동, 연속 회전, 정, 역전, 변속, 마이크로 스텝 구동 등을 들 수 있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2013.05.12
  • 한글파일 자동제어실험_예비5
    CLK 모터의 속도를 제어 할 수 있는 클럭 입력 핀이다. 19 HALF /FULL IC의 Translator라는 블록에서 half step과 full stop을 위한 알맞은 순서를 ... 발생시킨다. half step이란 CLK로 들어오는 펄스를 반만 이용한다는 의미이다. ... Half/Full Step Modes selectable 3. 방향 선택가능 4. 프로그램가능 로드전류 5. Reset Input, Home Output 6.
    리포트 | 12페이지 | 2,000원 | 등록일 2012.07.13
  • 한글파일 [기초전자전기] 모터제어 보고서
    [실험 목적] - DC모터stepping모터를 조사하고, 이해하여 직접 배선을 연결해 프로그램 구동을 통해 속도를 조작 ? 제어 한다. ... 영구자석의 종류에 따라 스텝각이 분류되며, 스텝각이 큰 90˚,45˚의 모터는 일반적으로 알니코계 자석을, 18˚,15˚, 11.25˚,7.5˚등의 모터는 페라이트계 자석을 사용한다 ... 복합형은 고정밀도, 높은 토크, 작은 스텝모터에 많이 사용된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2011.06.06
  • 한글파일 CNC 가공 예비 레포트
    -STEP_OVER & STEP_DOWN step-over : 밀링 가공에서 많이 쓰이는 엔드밀과 같은 절삭 공구를 이용하여, 가공 할 때, 측면의 깊이를 제어한다. ... 이때, step-over의 수치가 공구 지름 이하의 양수 이어야한다는 점을 유의해야 한다. step-down : 가공시에 z축 방향의 절입량을 결정하는 것 으로써, 약 5정도로 하는 ... -spindle speed 가공에서 주축의 회전 속도 즉, 가공물을 가공할 때 공구가 회전 하는 속도를 spindle speed(RPM)라고 한다. spindle speed를 제어
    리포트 | 4페이지 | 1,500원 | 등록일 2014.12.17 | 수정일 2015.09.22
  • 파워포인트파일 STEP MOTOR를 이용한 360도 파노라마
    위치정보 위도 경도 위성수 시간 개발 목표 Step Motor 회전 GPS 위치 확인 Image Processing 위치정보를 가진 파노라마 이미지획득 Step Motor 21.6 ... motor Drive 로 회로도 구성 PCB 제작 예정 21.6 ˚ 씩 17 번 구동 367.2 ˚ 회전 1-2 상 여자동작방식을 사용 Step Motor test 1-2 상 여자 ... STEP MOTOR 를 이용한 360 도 파노라마 Contents 개발 동기 시스템 구성도 개발 목표 SOFTWARE 구성 HARDWARE 구성 개발 환경 참고 문헌 개발동기 자신이
    리포트 | 21페이지 | 4,000원 | 등록일 2013.10.08
  • 한글파일 자동제어실험_결과_Step motor_3주차
    실험제목 : Step motor 3. 실험목적 - 타이머 / 카운터 인터럽트의 개념을 이해한다. - 타이머 / 카운터 인터럽트와 관련된 레지스터에 대해 이해한다. 4. ... 실험결과 ※ 스텝모터에 반지름 3cm의 바퀴가 달려 있을 때, 90cm를 정확히 5초에 도달하도록 하는 프로그램을 작성하라. ... ); // 레 } ▷ 위의 코드는 스텝모터의 주파수 영역에 따라 모토의 속도가 다르기 때문에 이에 나오는 음계들을 사용하여 소녀시대의 ‘Gee’의 노래가 나오도록 한 것이다. outp
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.17
  • 파워포인트파일 [자동제어]Control Tutorials for Matlab & Simulink사이트 분석 및 설계(크루즈 컨트롤, 모터 스피드, 모터 포지션, 서스펜션)
    Kp =242, Ki =43.318 2 Motor Speed DC 모터는 Control systems 의 일반적인 actuator 이다 . 회전과 병진운동을 공급한다 . ... Scope 3.4 Open loop step response 그래프에서 1 볼트가 시스템에 적용 될 경우 , 모터의 위치가 계속 증가하는것을 볼 수 있다. ... Kd =21, Ki =420, Kp =210 3 Motor Position System Input : 전압원 (V) 가 모터의 전기자에 공급된다 .
    리포트 | 60페이지 | 2,500원 | 등록일 2013.07.06
  • 한글파일 <2014년 기아자동차> 자기소개서/100초스피치/면접/후기/팁(Tip) - 현대자동차/기아자동차/두산중공업/포스코 모두 합격한 노하우 -
    3 Step만 잘 지키면 됩니다. (1 step) - 저는 **활동을 하였습니다. - 저는 해외봉사를 갔다 왔습니다. - 저는 동아리 회장을 했습니다. - 저는 ~~를 하였습니다. ... 느꼈습니다. (3 step) - 이 배움으로 **회사의 **분야에 자신이 있습니다. ... 이렇게 3 step을 생각하며 ‘자기소개서’, ‘스피치’를 준비하시고, ‘면접’을 볼 때 떨리더라도 이 단계를 생각하면서 말하시면 되요.
    자기소개서 | 6페이지 | 3,500원 | 등록일 2014.11.27
  • 한글파일 자동제어실험2 (결과레포트) - pendulum test (10주차)
    오른쪽 사진은 스탑버튼을 누르면 모터가 멈추게하는 코딩으로써 스탑버튼을 누를 경우 케이스문을 이용해서 Brake에 0즉 Low를 입력하므로서 모터를 멈추도록 만들었다. step4에서는 ... 그러나 지난주까지 검사못받았던 step4까지 검사받느라 결국 PID제어가 제대로 되는지는 확인하지 못했다. ... 이번 Step 5에서는 PID제어알고리즘을 추가해서 펜듈럼이 쓰러지지 않고 고정될수있도록 프로그램을 만들었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.10
  • 워드파일 mouse.c 설명
    (타이머2) #include "step.h" // 스텝 모터 제어 함수 정의(타이머1, 3) #include "clock.h" // 타이머0 제어 함수 정의 #include "mouse.h ... 모터 테스트 case 4: // 스텝 모터 직진 테스트 if(disp){ printfLCD("\r Mouse\n Front !") ... ; // 오른쪽 바퀴 역방향 Ldir = STEP_FRONT; // 왼쪽 바뀌 정방향 Lstep = Rstep = 0; // 스탭 수 goal_speed_pt = 1; // 목표 속도
    리포트 | 12페이지 | 1,000원 | 등록일 2010.12.07
  • 한글파일 PWM을 이용한 스텝모터제어
    실험 목적 스테핑 모터Step 에 의해 구동되는 모터이다. 4개의 모터상이 있어서 한 스텝스텝 진행하면서 모터가 회전하는 것이다. ... 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는
    리포트 | 5페이지 | 1,000원 | 등록일 2010.09.24
  • 한글파일 PWM스텝모터
    실험 목적 스텝모터Step에 의 해 구동되는 모터이다. 4개의 모터상이 있어서 한 스텝스텝 진행하면서 모터가 회전하는 것이다. 한스텝 당 보통 1.8' 씩 회전한다. ... 비해 효율이 떨어진다. 2) stepping 모터의 종류 VR형 계 자석을 사용한 제품은 18? ... 모터의 적용 예 1) stepping 모터의 특징 장점 - 고분해능 - 고정밀도 - 각도 및 속도저어의 용이성 - 자기유지력(Holding Torque) - OPEN LOOP SYSTEM
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.20
  • 한글파일 제어설계공학실험 결과 3,2
    이는 step 입력에서의 발산할 때의 결과와 유사한 양상을 보였다. 4. ... 전동기의 전압을 증가시키면 모터가 더 빠르게 회전하게 될 것이고, 빠르게 회전하는 모터에 맞추어 진자의 움직임 또한 커지게 되어 파형의 진폭이 커지게 된다. ... : 0.00137 : 0.00538이다 그림 9.55V 전압 입력 시 막대 위치 그림 (1) STEP 입력: 30°, 45°, 60° - STEP 입력: 30°- 전동기 공급전압 :
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.18
  • 한글파일 [제어시스템설계]AC 서보 파라메타 측정
    on num = data(1,3); % 데이터 변수 ‘data'에서 Kp값을 num으로 지정 den = data(1,:); % 데이터 변수 ‘data'에서 각 열을 den으로 지정 step ... 1 실험목적 모터의 시스템 파라미터로서 작용하는 회전관성모멘트( )와 점성마찰계수( )를 구하고 측정 결과를 Matlab을 이용하여 스텝응답을 확인한다. ... °(degree)로 맞춘다. ③ 스텝함수를 입력값(input)으로 가해준다. ④ 피크타임( )과 오버슈트( )를 측정한다 ⑤ 측정 결과를 분석하고 모터의 파라미터를 찾고 성능을 파악한다
    리포트 | 5페이지 | 1,500원 | 등록일 2011.01.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업