• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 161-180 / 304건

  • 한글파일 VHDL를 활용한 EE ATM 설계하기
    해당 금액을 입력하고 확인을 누르면 step motor가 반대로 돌면서 돈이 입금되는 시간을 설정하였다. ... ) : main, step motor, tft lcd, input password 함수 구현, 최종 수정 날짜 내용 1주차(11/12~11/18) - 전체적인 메인함수를 내는 dot ... -이론 이번 TERM PROJECT를 통해 수업시간에 배우지 않은 기능을 익힌 부분은 TEXT LCD, STEP MOTOR, TFT LCD 총 3가지였다. ①TEXT LCD Text-LCD
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • 한글파일 전기전자응용실험 Chapter 8 DC and Step Motor Control Report
    Chapter 8 DC and Step Motor Control Report 1. ... */ }while(PORT2 & 0x08); In conclusion, we can push P14 to P17 to move rotate the step motor to left ... Understanding of the program ① Operation of the motors: Describe the operation was proper and any deviations
    리포트 | 9페이지 | 1,500원 | 등록일 2012.08.05
  • 한글파일 부산대학교 기계공학부 기계공학응용실험 레포트 (모터 제어 실험)
    구조 STEP모터도 아래 사진과 같이 회전자(ROTOR)와 고정자(STATOR)로 구성되어 있다. ... 그림3은 스텝모터의 STATOR및 권선의 구조를 보여 주고 있다. ... DC 모터의 토크결정식 유도 그림 7의 경우 자력선이 N극에서 S극 평행하게 주어진다고 가정하자.
    리포트 | 12페이지 | 2,000원 | 등록일 2013.04.30
  • 파워포인트파일 무인 주차 시스템
    차량에 탑재되어 있는 센서 Control 스텝모터 Controler 데이터 송신 Zigbee 모듈과 데이터 송수신 Step Motor Controler Step Motor 디지털 ... 사용자가 원하는 목적지까지 운행 개 요 목 표 예약시스템 Ubiquitous 핸드폰 알림 서비스 무인자동차 휴대용 단말기 Field Programmable Gate Array XC3S200 ... 펄스를 입력받아 스텝에 맞춰 회전 운동 Infrared Ray Sensor 차도를 감지하여 차량운행 및 방향조절 Zigbee Module PAN802154 차량과 Main Server와의
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.25
  • 한글파일 DC 및 Stempping 모터제어 실험-결과보고서
    3[mS] 지정 */ } ② 스텝 모터 구동 #실험 과정 - STEP.C 라는 파일을 불러와 컴파일한다. - 에러가 없으면 MDA-WIN196으로 로드 후 프로그램을 실행한다. - ... (pulse=3, check=7) #include /* 정의된 SFR들을 인클루드*/ /* MDA-WIN196 STEP MOTOR UNIT 선택 어드레스 */ # ... 실험의 목적 DC 모터Stepping 모터를 제어하기 위한 기능들을 익히고 모터 회전 속도를 7-segment에 나타낼 수 있도록 한다. ?
    리포트 | 8페이지 | 1,000원 | 등록일 2008.10.26
  • 한글파일 기계자동차공학실험1) H.PWM을 이용한 스텝모터 제어
    결론은 주파수가 증가하면 주기가 작아지고 모터의 구동속도가 증가한다는 것이다. - Stepping 모터의 적용 예를 찾아보아라. ... PWM을 이용한 스텝모터제어 실험목적 -PWM을 이용하여 스텝 보터를 구동해 보고, 주파수 변화에 따른 모터의 동착 특서을 관찰하며 이론적 특성과 실험적 결과를 비교 검증 하고자한다 ... 스테핑모터란, 펄스 신호를 줄 때마다 일정한 각도씩 회전하는 모터로서 입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터이다. 펄스모터 혹은 스텝모터라고도 하며.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.28
  • 한글파일 라인트레이서
    Step Motor Control Circuit We used two pairs of L297 - SLA7024M to control a pair of step motors. ... And we used a clock from the LM555 to both step motor to match the speed. 8. ... Truth Table of SLA7024M And below table is the step motor excitations according to connection.
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.09
  • 한글파일 PWM을 이용한 스텝모터제어
    그림3 PWM의 변조방식 (2)스테핑 모터(Stepping motor) 스테핑 모터Step에 의해 구동되는 모터를 말한다. 4개의 모터상이 있어서 한 스텝, 한 스텝 진행하면서 ... 보통 한 스텝 당 1.8°씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비해 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... 장 점 ①모터의 총 회전각은 총 입력 Pulse수에 비례하고, 모터의 속도는 초당 입력 Pulse수에 비례한다. ②Step당 각도 오차가 5%이내이며 회전각 오차는 Step마다 누적되지
    리포트 | 7페이지 | 1,000원 | 등록일 2010.07.12
  • 한글파일 8051을 이용한 칵테일 제조기
    CALLSVDELAY ; 솔레노이드벨브 DELAY 문 호출 ; STEP1:MOV R5, #22 ; 스텝모터 회전수 CALL ROLL1 ; 모터 회전 서브루틴 호출 CLRC ; C ... 회로도 < 전체 회로도 > < 스텝모터 회로도 > < 스위치 회로도 > < LCD 회로도 > < 솔레노이드 벨브 회로도 > 부품 리스트 순번 품명 규격 개수 비고 1 모터 스텝모터 ... #21 ; 스텝모터 회전수 CALLROLL1 ; 모터 회전 서브루틴 호출 ; CLRC ; C
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.22
  • 파워포인트파일 Motor의 대해서
    이 순서를 반복하며 , 1 스텝 각도가 90 도이므로 4 스텝 진행되면 1 회전한다 . Servo Motor . 정 의 서보 (servo) 모터 ? ... 구조 Step Motor . 종 류 Step Motor . 특징 Step Motor. 동작원리 Servo Motor. 정의 Servo Motor . 종류 Servo Motor . ... 동작원리 DC Motor . 정의 DC Motor . 구조 DC Motor . 동작원리 DC Motor . 특성 Step Motor . 정의 Step Motor .
    리포트 | 41페이지 | 3,000원 | 등록일 2011.01.13
  • 한글파일 step motor
    Step Motor 와 ATmega128 스테핑 모터는 디지탈 회로로 제어하기 쉬운 모터이다. ... 제목 : Step Motor 2. 목적 -ATmega128의 Timer/Counter 및 PWM 출력에 대해 이해한다. -STEP MOTOR의 구동방식을 이해한다. 3. ... 결론 스텝모터는 입력 펄스수에 대응하여 일정한 각도만큼 움직이는 모터로 펄스모터라고도 불린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.15
  • 한글파일 [전자전기실험] 스테핑모터 실험
    그림 7 8. stepping motor의 구동 Stepping motor 의 구동부에 대한 설명은 아래와 같다. o pulse 발진기 : 속도 및 전위량을 결정한다. o logic ... 있으며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... Stepping motor의 동작 원리 Stepping motor에서 그 내부를 구성하는 고정자라고 불리우는 극의 수에 따라 단상(1상), 2상, 3상, 4상, 5상, 6상 등의 종류가
    리포트 | 10페이지 | 1,000원 | 등록일 2002.11.29
  • 한글파일 디지털공학) 스텝모터, 서보모터, 홀센서 정리
    스테핑 모터(Stepping Motor) [1] 스테핑 모터의 개요 스테핑 모터(Stepping Motor)는 Step Motor 혹은 Reluctance Motor 등으로 불리워지고 ... S1이 같이 도통되고 S2가 도통되면 ?S2가 같이 도통되어야 한다. ... motor)라고 한다. 2.1 DC 서보 모터 DC 서보 모터(DC Servo motor)는 앞에서도 언급한 바와 같이 고정자(Stator)측 구성은 기계적 지지를 목적으로 하는
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.23
  • 워드파일 베터리 시장 전망
    LG화학에서 제시한 미래형 배터리 모델들은 휘는 디스플레이에 호환될 수 있는 커브드(Curved) 배터리, 앞으로 다양한 형상으로 제작될 수 있는 계단 형태의 스텝드(Stepped) ... 그 시작으로 내연기관과 전기 모터, 배터리가 융합된 하이브리드 자동차를 개발하였고, 제품들을 이미 판매하고 있다. ... 더 나아가 post li ion battery 로써 Li air, Li-S, Na, Mg 전지들에 대한 연구가 대기업과 학계 연구소를 중심으로 활발히 진행되고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.07.04 | 수정일 2017.12.16
  • 파워포인트파일 두통의 진단과 치료
    treatment first step consists of simple analgesics and an antiemetic second step consists of triptans ... headache with sudden onset) headache with atypical aura (lasting more than 1 h or including motor symptoms ... 두통의 빈도, 강도, 기간, 동반증상, 복용한 약물의 종류 및 효과의 유무, 유발요인 등을 기록 환자에 대한 교육은 특히 중요, 현실적 기대치를 갖도록함 Acute treatment Step-wise
    리포트 | 50페이지 | 1,000원 | 등록일 2013.11.10 | 수정일 2014.11.14
  • 파워포인트파일 Risk Management
    RISK MANAGEMENT STEPS Project risk management steps Project risk management is the process of recognizing ... MANAGEMENT RISK definition outline construction steps case RISK MANAGEMENT DEFINITION Risk Uncertain ... production and distribution Risk management in the car industry Risk management in the car industry Kia motors
    리포트 | 41페이지 | 1,500원 | 등록일 2012.03.19
  • 한글파일 [제어시스템설계] 극점배치법-결과
    Step(Real AC Motor & Modeling) Root Locus(Modeling) 4 가상 극점 배치 4.1 고유진동수( = 24.9521)고정, 감쇠비( ) 변화 시스템의 ... 상에서 감쇠비( ) : 시스템의 미분게인( ) 고유진동수( ) : 비례 ( ) 혹은 적분게인( ) 따라서 S-plane 상에서 특정 지점에 극점을 배치. ... 감쇠비( )를 0.0389로 고정하고 고유진동수( )를 10으로 배치했을 때 붉은 실선은 실제 AC모터의 거동이고 파란 실선은 스텝응답 이론값이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2011.01.22
  • 파워포인트파일 빌딩정보시스템
    반복적 시스템 : 예비 모델 개발 , 적용 , 개선 , 재적용의 과정을 반복 Steps in Prototyping YES NO step4 step3 step2 step1 Develop ... Ford Motor Company’s Invoiceless Processing. ... a working prototype Identify basic requirement s Use the prototype User satisfied Revise and enhance
    리포트 | 59페이지 | 5,000원 | 등록일 2012.03.19
  • 파워포인트파일 스테핑모터 구동하는 방법
    스테핑모터 구동 스테핑모 터 구동 회로도 1 번 회색 2 번 노란색 3 번 초록색 4 번 빨간색 5 번 흰색 6 번 파란색 #include at89c51xd2.h #define STEP_MOTOR ... i ; char a=0; while(1) { for( i =0;i 400;i++) { if(a 3) a = 0; STEP_MOTOR = ~step1[a]; delay(300); a ... ++; } //for a=3; for( i =0;i 400;i++) { if(a 0) a = 3; STEP_MOTOR = ~step1[a]; delay(300); a--; } } }
    리포트 | 3페이지 | 1,000원 | 등록일 2008.12.14
  • 한글파일 [공학]스테핑 모터 구동회로
    기계적인 이동량을 정밀하게 제어하는 일에 DC servo motor와 더불어 stepping motor가 널리 사용되고 있다. ▶ 스테핑모터는 외부의 DC전압 또는 전류를 모터의 각 ... 스테핑 모터 구동회로 ■ 목표 영구자석과 코일로 이루어진 4상 스텝 모터의 구동회로를 제작하고 여러가지 모드에 의한 동작을 이해하고 분석한다. ■ 배경이론 ○ 스테핑 모터(Stepping ... Stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 각종 OA, FA 장비에 널리 사용되고 있으며, 일반적으로
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업