• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 141-160 / 304건

  • 워드파일 자동제어 보고서
    스핀들 모터(Spindle Motor) 플래터의 회전을 담당한다. Hyperlink "http://ko.wikipedia.org/w/index.php? ... , 1 ) ; stepinfo(sys_closed_sim) figure(), step(sys_closed_sim) RiseTime: 0.0255 SettlingTime: 0.0673 ... Step Response Steady State Error Step input 일 때, Margin Figure 9.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.10.31
  • 파워포인트파일 사본 - How to build Line Tracer Robots
    HONAM university -- Produced by : Travis KIM ..PAGE:6 COMPONENT IR Sensor MCU R-step Motor L-step Motor ... CONTROL Full-step or 2- Phases-on Color White, black brown red orange yellow A B A B Step 0 ON ON 1 ... polymer battery Voltage: 36v ..PAGE:9 Step motor Motor Control R-Motor L-Motor -- HONAM university -
    리포트 | 20페이지 | 2,500원 | 등록일 2011.04.12
  • 한글파일 PWM을 이용한 스텝모터제어.hwp
    PWM을 이용한 스텝모터제어 1. 실험 목적 스테핑 모터Step 에 의해 구동되는 모터이다. 4개의 모터상이 있어서 한 스텝스텝 진행하면서 모터가 회전하는 것이다. ... 한 스텝 당 보통 1.8' 씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할수 있다. ... 1.80kHz 1280 530.00Hz 0.53kHz 4349 2000.00Hz 2.00kHz 1152 540.00Hz 0.54kHz 4268 2200.00Hz 2.20kHz 1047 - stepping
    리포트 | 11페이지 | 2,000원 | 등록일 2010.11.04
  • 한글파일 중학교 영어 Master plan, Sub pan, 수업지도안
    Eventually, I expect this class to be a good stepping stone for the rest of 10 periods in this lesson ... pronouns - CD-ROM - Worksheet 9 Project - To make a Step Book - CD-ROM - Textbook - Postcards - Computers ... Overview Theoretical Background of this class is Total Physical Response (TPR), also known as Audio Motor
    리포트 | 5페이지 | 2,500원 | 등록일 2015.05.07 | 수정일 2016.06.18
  • 한글파일 자동제어실험2 (결과레포트) - pendulum test (9주차)
    이번 step4에서는 Volt.P를 추가해서 기준값 입력 10번 이상, Volt.P 가 [1.5 V]~[3.5 V] 사이에 들어올 경우, 도립진자의 각도인 Volt.A가 기준값의 여유값범위안으로 ... 결과 및 분석 1) PENDULUM Step 4 Front panel 기본 블럭다이어그램 기준값 전압 계산 알고리즘 게이지 알고리즘 초기화 알고리즘 먼저 기본프로그램을 설명하면 기준값 ... PID제어는 계속 생각해보고 있는데 시스템에 PID제어를 연결해서 하는 것 같지는 않고 모터의 관성을 이용해서 ERROR가 많을때는 모터를 빨리돌려주고 ERROR가 적을때는 모터
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.10
  • 파워포인트파일 L297을이용한스텝모터제어회로
    CLOCK : 모터의 속도제어 19. : L297 의 Translator 라는 블록에서 Half Step 과 Full Step 을 위한 알맞은 순서를 발생시킨다 . half step ... 즉 펄스 두 개가 들어와야 모터의 한 스텝이 돌아간다 . 20. ... 스텝모터회로 설계 Project Application 회로도 L297 L297 은 스테핑 모터를 정전류 구동하기 위한 모든 회로가 포함되어 있는 전용 컨트롤러이다 .
    리포트 | 11페이지 | 1,000원 | 등록일 2009.05.01
  • 한글파일 PWM을 이용한 스텝모터제어
    고찰 stepping 모터의 적용 예 스테핑 모터는 한 스텝씩 작은 회전을 정밀하게 하고 싶을 때 사용한다. ... PWM를 이용한 스텝모터제어 1. 실험 목적 스테핑 모터Step에 의해 구동되는 모터이다. ... AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.16
  • 파일확장자 예비보고서#8&결과보고서#8
    When software interrupt occur, this function changes next HSO active pin to generate step motor driving ... 4.3 Step motor drive control - Analyzing Program code A main function initializes HSO interrupt and port ... It changes a flag, it affects a motor behavior.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.10.01
  • 한글파일 스텝모터 동작원리
    스텝 모터(step motor), 스텝모터(stepper motor), 스텝모터(stepping motor), 펄스 모터(pulse motor)는 같은 의미로 사용된다. ... Stepping motor의 개요 최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치 제어 때문에 OA, FA 등에 ... Stepping motor의 동작 원리 산업용 로봇의 관절 기구와 같은 부분에 스텝 모터가 주로 채택되는데, 이는 외부로부터 주어지는 하나의 입력 펄스 당 일정 각도만큼 로터가 회전하여
    리포트 | 3페이지 | 1,000원 | 등록일 2008.03.09
  • 한글파일 예비-라인트레이서종합
    Step motor control a rotating angle so if we use a step motor, the controling the line tracer is more ... But using step motor is so complex to driving circuit. Therefore, we use a DC motor first. ... So using the DC motor or the Step motor is reasonable.
    리포트 | 11페이지 | 2,500원 | 등록일 2011.06.01 | 수정일 2014.06.22
  • 한글파일 역진자제어,Control of Inverted Pendulum
    Graph로 확인 >> t=[0:0.1:5]; y=step(num,den,t); plot(t,y,'-'); grid < Step response of angle & position ... {x _{1}#x _{2}#x _{3}#x _{4}}}각 변수에 위의 Motor parameter를 대입한다. ... 개발 시스템의 전체 구성 NO YES Right Left NO 시작 Encoder 출력센싱 수직안정 일치영역 값 비교 좌 Encoder 보상모터 이동 우 Encoder 보상모터 이동
    리포트 | 5페이지 | 3,000원 | 등록일 2013.11.01
  • 한글파일 자동제어실험2 (결과레포트) - pendulum test, Mimo test (8주차)
    고찰 이번주 실험은 Pendulum step3와 MIMO stpe2를 진행하였다. ... 결과 및 분석 1) PENDULUM Step 3 Front panel 기본 블럭다이어그램 DAQ 추가수정 부분 먼저 기본프로그램을 설명하면 기준값 설정버튼을 누르면 그 순간의 슬라이드값이 ... 오른쪽 사진은 스탑버튼을 누르면 모터가 멈추게하는 코딩으로써 스탑버튼을 누를 경우 케이스문을 이용해서 Brake에 0즉 Low를 입력하므로서 모터를 멈추도록 만들었다. 2) MIMO
    리포트 | 4페이지 | 1,500원 | 등록일 2012.11.28
  • 한글파일 [제어공학] DC모터 시뮬레이션 C언어 및 MATLAB를 기반
    rpm converted to rps >> kt = P_rated/(W_rps*I_rated); //Kt >> I = 0; >> W =0; >> h = 0.0001; //time step ... ( pile{I _{a}#w} RIGHT ) `+ LEFT ( pile{{1} over {L _{a}}#0} RIGHT ) V _{a} (2) 출력방정식 y(t)`=`Cx(t)직류모터 ... 연구내용 2.1 개념도 혹은 블록도 DC Motor Block Diagram 2.2 설계의 주요내용 (1) 상태방정식 {dot{x}} (t)`=`Ax+Bu직류모터 방정식 (시간영역)
    리포트 | 13페이지 | 2,500원 | 등록일 2013.12.13 | 수정일 2014.11.11
  • 한글파일 예비8
    Theory ① Step motor A stepping motor is called step motor or reluctance motor and used wide industrially ... The last, Control the speed and direction of a step motor using HSO. 2. ... Preparation report #8 전기전자응용실험 Chap 8. DC and Step Motor control 과목명. 전기전자응용실험 담 당. 제출일. 성 명. 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.06.01
  • 한글파일 [전기전자] 스테핑모터(stepping motor)
    Stepping motor의 개요 최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치 제어 때문에 OA, FA 등에 ... . { { { { 5. stepping motor의 특성 Stepping motor를 사용하는데 있어서는 다음의 세 가지 특성에 주의할 필요가 있다. (1) 회전각도와 토크의 관계 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 stepping motor는 pulse에 의해
    리포트 | 15페이지 | 1,000원 | 등록일 2002.05.06
  • 워드파일 서강대학교 자동제어개론 설계1 Feedback Control Analysis
    *Motor and arm G(s) sys_o1=tf(num1,den1); % transfer function구하는 함수 sys_cl1=feedback(sys_o1,[1]) % H( ... 생략 end i=i+1; end grid on; title('Step Response') legend('Ka=30,K1=0.03','Ka=30,K1=0.05','Ka=30,K1=0.07 ... s)=1 인 feedback시스템 step(sys_cl1) % input에 대한 step response grid on; title('step response of input') 결과
    리포트 | 26페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • 한글파일 [제어공학]모터의 주파수응답 실험
    #제어공학 2 재실험 (inertia로 disk 2개 사용) Consider the D.C Motor ... Input Test) When the motor is at rest, give a step input to the motor and record the velocity history ... 또 step input 을 주어 sine input과 비교도 해보는 실험이었다.
    리포트 | 14페이지 | 4,900원 | 등록일 2007.07.07
  • 한글파일 스테핑모터
    구동방식으로 유니폴라 구동방식과 바이폴라 구동방식이 있다. 2) 스태핑 모터(Stepping motor)의 특징 스텝 모터, 펄스 모터 AC servo, DC servo motor에 ... (마주하는 2개의 극이 1개의 상)의 수에 따라 단상모터, 2상모터, 3상모터, 4상모터, 5상모터, 6상모터 등으로 분류하고, 이 극의 수에 따라 motorstep 각 등의 기본 ... 스태핑모터의 동작원리 및 특징 1) 동작원리 Stepping motor는 크게 나누어 스테이터(고정자)와 로터(회전자)의 2개 부분으로 구성되어 있으며, 고정자의 극의 수에 따라 상
    리포트 | 5페이지 | 2,500원 | 등록일 2010.04.26 | 수정일 2023.10.08
  • 파워포인트파일 STEP모터 기초에서 사용법까지
    모터 회전량[°] = 스텝각[°/step] × 펄스수 1-4.회전 속도는 펄스의 속도에 비례 모터회전속[r/min]=            × 펄스 속도[Hz× 60 스텝각[°/step ... OFF(출력 전류 오프) 입력 4-2.입력 신호(C/S) 스텝각 설정 스윗치(DATA1, DATA2)로 설정된 스텝각을 바꾸는 신호입니다. 4-2-4.C/S(스텝각 바꾸고) 입력 4 ... 4-4-2.스텝각 변환 스윗치 4-4.드라이버의 대표적인 기능(모터 전류) 운전 전류와 정지 전류를, 각각 조정할 수 있습니다 4-4-3.모터 전류 조정 스윗치 4-4.
    리포트 | 37페이지 | 3,500원 | 등록일 2011.06.22
  • 한글파일 17장 모터제어
    Stepping motor의 종류 ? ... STEPPING MOTOR란 무엇인가? ? Stepp식 ? 1상 여자 : 항상 하나의 상에만 전류를 흐르게 하는 방식. ... ATmega8535를 이용한 DC모터Stepping모터의 제어 ? 실험방법 ?
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업