• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 101-120 / 23,931건

  • 워드파일 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    진리표와 결과가 완전히 일치한다. 4-bit full adder 4비트 full adder의 구조는 간단하게 이해하자면 full adder를 4개사용하여 각 자리수마다 가산을 진행하는 ... 위에서부터 X, Y, B0, D, B순서이고 결과는 진리표와 동일하다. 4-bit Full subtractor 4비트 full adder와 마찬가지로 4개의 full subtractor를 ... 이렇게 가장 높은 자리수까지 가산을 반복하면 출력은 S3 S2 S1 S0 4비트 숫자와 가장 높은 자리수에서 발생한 자리올림수 Cout이 된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 아주대 논리회로실험 실험9 RAM 예비보고서
    회로 결선도 - 실험1(2-bit RAM), 실험2(16-bit RAM) 5. ... 이때 한번에 하나의 bit(A, B)에 하나의 데이터(1, 0)을 써야하고, Write 과정을 한 이후에는 접지, Read는 선택한 상태에서 값을 읽는다. - 실험2(16-bit RAM ... L L L W0B1 W0B2 W0B3 W0B4 L H L W1B1 W1B2 W1B3 W1B4 H L L W2B1 W2B2 W2B3 W2B4 H H L W3B1 W3B2 W3B3 W3B4
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 논리회로실험 병렬 가산기 설계
    입력 A와 B를 8비트의 0으로 초기화 시켰고, 캐리 값 C_in 또한 초기값을 0으로 설정하였다. ... 계산결과에서 2의 보수에서는 가장 높은 자리에서 자리올림이 발생시, 이를 무시하므로 결과값은 00011001이다. (3) 병렬가산기 논리회로 오른쪽의 그림은 8bit 병렬가산기의 논리회로도이다 ... 논리회로설계 실험 예비보고서 #3 실험 3. 병렬 가산기 설계 1.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder Schematic ... Schematic , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder ... , VHDL (5) FND Decoder VHDL 을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기 제 3장 요약 및 결론 레포터의 목적 (1) 1비트 2x1 Mux
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    이러한 MUX의 특성이 좀 더 잘 드러나게 시뮬레이션하기 위해 selection 신호 값을 결정할 때마다 다른 set data, 즉 입력된 신호의 값을 다르게 주었다. 3. 2x4 ... 1. 4bit adder 1-bit 전가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다. ... . 2bit로 출력할 수 있는 4개의 binary값을 순차적으로 입력했을 때 출력을 시뮬레이션 하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 한글파일 정보통신개론 ) 패리티 비트, CRC 에러 검출, 비교 관련, 채널용량 할인자료
    비트 구성열을 통해 패리티 부호 값을 정하는 방법을 서술하고, 실제 12bit 이상의 비트열을 예시로 들어 서로를 비교하여라. 3. ... 다음과 같은 과정에서 에러 발견 방법을 표현해라. 12bit를 사용함에 있어, 해밍 비트 수 공식으로 보면 DATA가 8개에 패리티는 4개 필요함을 알 수 있다. 1. 1의 위치가 ... 에러 발생 확인 패리티 비트를 포함한 수는 101110100010으로 1, 3, 5, 7, 9, 11 비트 확인 시 111101로 홀수이므로 0 2, 3, 6, 7, 10, 11 비트
    리포트 | 6페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.27
  • 한글파일 VHDL 실습(XNOR, MUX, FullAdder, 4-bit FullAdder) 결과
    MUX 입력 출력 S0 S1 Z 0 0 I0 0 1 I1 1 0 I2 1 1 I3 ☞ 입력 S0와 S1의 값에 따라 출력 Z의 값이 I0 ~ I3 중 선택되어 출력된다. ... 여기서 S0&S1="00" 일 때 I0, S0&S1="01" 일 때 I1, S0&S1="10" 일 때 I2, S0&S1="11" 일 때 I3를 출력하도록 하였고 결과는 시뮬레이션으로 ... Full-Adder는 Full-Adder 4개를 붙여놓은 것으로 Full-Adder의 Cout이 그 다음 Full-Adder의 Cin이 되고 4-bit의 입력을 넣어 4-bit
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 결과 (22) 3.1. 4-bit Parallel Data Transfer (22) 3.2. 4-bit SIPO (34) 3.3. ... 실험 결과 3.1. 4-bit Parallel Data Transfer 아래는 위의 과정으로 작성한 4비트 병렬 데이터 전송 회로의 소스코드(.v)와 버튼 및 LED 맵핑(.ucf) ... 실험 방법 (07) 2.1. 4-bit Parallel Data Transfer (07) 2.2. 4-bit SIPO (14) 2.3.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 워드파일 fpga bcdconverter
    우선 입력이 8bit이므로 8bit로 선언해주고 출력은 3비트 이므로 3비트로 선언해준다. 여기서 always구문을 사용하기 위해서 data를 reg타입으로 설정해준다. If(! ... 입력을 8bit크기의 a, 출력을 3bit 크기의 data로 설정하였고 우선 초기상태부터 30ns까지 a의 최상위비트(enable bit)를 0으로 설정하였고 40ns부터 110ns까지는 ... Add3module에서의 진리표 - 작성한 Code가b(8비트), 출력을 p(10비트), hunds(2비트),tens(4비트),units(4비트)로 설정하였고 3가지 case에 대해서
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • 한글파일 컴퓨터 구조론 연습문제 풀이 5장 11,13,14번
    이것을 word단위로 바꾸면 3MByte는 (3 x 2^20)Byte이고 word의 길이는 16비트 이므로 2Byte입니다. ... 기억장치 칩 주소 비트 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 RAM1 RAM2 0 0 0 0 0 0 0 0 0 0 0 (000H)번지부터 0 1 1 1 1 1 ... 기억장치 칩 주소 영역 주소 비트들 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 ROM1 0000H~0FFFH 0 0 0 0 x
    시험자료 | 5페이지 | 2,000원 | 등록일 2020.05.18
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험3) 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈 1번에서는 총 7bit 신호로 out을 출력했다면, 실험3번은 점을 포함하여 out을 출력하는 것이다. ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드 code (3) 실습3 0000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single ... 첫 번째 모듈 - 버튼마다 Piezo(음)을 출력하는 코드 3.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 한글파일 부산대 어드벤처디자인 실험9 A+ 결과보고서(4비트 가산기)
    계산 시간이 빠르나 더하는 비트 수만큼 전가산기가 필요하다. n개의 전가산기(FA)로 n Bit의 2진수 A,B를 계산하는 논리회로이다. ... 다른 종류의 가산기를 조사하여 32비트의 가산기를 구현할 경우에 가장 빠른 속도를 나타내는 가산기를 구하시오. - 반가산기 반가산기는 1 bit 짜리 2진수 두 개를 덧셈한 합과 ... 7주차 실험 보고서(실험 6) 1. 4비트 가산기 연결 실험에 대한 이론값과 결과값에 대한 비교 [사진 1] 4비트 가산기 회로 [사진 2] 4비트 가산기 이론값 [사진 3] 4비트
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • 파일확장자 논리회로 연습문제 과제모음
    입력된 수의 제곱을 출력하는 회로 설계 (6비트의 2진수 출력)접근방법:1) 입력되는 3비트의 2진수 부호를 X, Y, Z라고 하고2) 입력은 0~7(000~111) 이므로 가장 ... ) 2x4 디코더는 2개의 입력을 4개로 출력하므로 각 인코더는 2비트의 주소선이 필요3) 따라서 B, A 주소선용 디코더 그룹, D, C 주소선용 디코더 그룹, E 주소선용 디코더 ... 먹스를 사용하므로 첫 단에는 8개 입력 중에서 4개를 선택해야 하고, 둘째단은 4개 입력 중에서 2개를 선택해야 하고, 마지막 단에는 2개의 입력 중 하나를 선택해야 함. 19. 3비트
    시험자료 | 8페이지 | 2,000원 | 등록일 2020.07.31
  • 파일확장자 타이머 카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주 시켜 Duty 비가 35퍼센트인 구형파를 만들어서 OC1B핀으로 정상출력하고자 한다. 필요한레지스터 값을 설정하시오.
    반면 카운터는 내부 클럭을 입력하는 타이머와 달리 마이크로프로세서의 내우 입력핀(T1, T2, T3, IC1, IC3 등)에서 임의의 클럭을 입력받아 입력된 클럭을 세는 것을 말하며 ... 본장에서는 타이머 카운터에 대한 학습을 통하여 타이머/카운터 1을 10비트 분해능의 Fast PWM 모드로 설정하고, 내부 클럭을 8분주시켜 Duty 비가 35%인 구형파를 만들어서
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.16 | 수정일 2021.06.24
  • 한글파일 [atmega128]마이크로프로세서 8비트타이머카운터
    (shdio.h 헤더파일에 선언되어 있음) 3. 코드 분석 - 1초 LED 점등 소등 - 스탑와치 만들기 -8비트로 16비트 페이크 만들기 4. ... -TCCR2 레지스터(Timer/Counter Control Register 2) 비트7 : 위 표에 설명 비트6,3 : 비트5,4 : PWM 모드가 아닌 경우고속 PWM 모드인 경우 ... ATmega128 8bit Timer/Counter 관련 이론 -타이머/카운터 내부 구성도 a.타이머/카운터0b.타이머/카운터2 -클럭 선택 논리부 클럭의 선택은 타이머/카운터 제어
    리포트 | 11페이지 | 1,500원 | 등록일 2020.01.03 | 수정일 2021.02.22
  • 워드파일 시립대 전전설2 Velilog 예비리포트 3주차
    Modeling CODE - 시뮬레이션 결과 3) 4bit Full Adder – 2 1bit Full Adder (Behavioral Modeling) + 1bit Full Adder ... 결과 3. ... Verilog HDL 실습 3주차예비리포트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number Name submit
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    그림 SEQ 그림 \* ARABIC 3 : 4bit Full adder simulation 그림 SEQ 그림 \* ARABIC 4 : simulation 성공 그림3은 adder의 ... 4-bit Full Adder 그림1은 4bit Full adder의 코드이다. 우선 library 파일을 작성해주었다. ... 입력되는 bit를 모두 bit 덧셈연산하여elay를 줘서 high 부터 시작할지 low부터 시작할지를 정해주었다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 디지털 실험 7장(가산기,감산기) 결과보고서
    왼쪽으로 1비트씩 이동시킨다. Binary가 8bit로 이루어져 있다면 8번 이동해야 한다. ... Excess-3코드 0011을 더하는 이런 코드를 쓰는 이유: 이 코드는 bit를 반전시킬 경우 9의 보수값이 나오는 성질이 있다. ... Binary to BCD converter Binary number를 왼쪽으로 1bit씩 이동시킨다. 왼쪽으로 이동되었을 때 값이 5이상이면 3을 더해준다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 verilog플리플롭
    플립플롭의 사용목적 플립플롭은 1비트 또는 1비트의 바이너리 데이터(이진 데이터)를 저장하는 기억소자입니다. ... #JK Flip-Flop JK플립플롭은 입력이 J와 Q 그리고 클럭의 3가지가 NAND 게이트에 입력된다. K와 Q’ 그리고 클럭 역시 마찬가지다. ... 쉽게 말하면 비트를 저장하게 위해 사용. 2. 플립플롭의 중류와 동작원리 #SR Flip-Flop 입력 S와 R에 0이 입력되면 출력 Q와 Q’ 는 변하지 않는다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.06.14
  • 한글파일 디시설설계실습
    최상위 비트값이 0일 때 state 3으로 넘어가고 tmp의 값을 left shift 시켜준다. ... 최상위 비트 값이 1일 경우 state 1로 가게되고 다시 원래 있던 1을 읽어야 하므로 그대로 유지한다. state가 3일 때 최상위 비트 값이 1일 때 state 4로 넘어가고 ... 들어오고 101의 최대 개수는 5개 이므로 총 6개의 결과값이 가능하므로 결과값은 3비트이다. 28~34)에서 state의 초기값은 0이므로 0일 때 data를 읽어와 tmp에 입력시키고
    리포트 | 3페이지 | 2,500원 | 등록일 2020.11.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업