• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 121-140 / 23,931건

  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3를 해준다. 3. 1번을 반복한다. 4. binary 가 8 bit 로 이루어져 있다면 8번 shift 해야 한다. 3. ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드 code (3) 실습3 0000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single ... 배경 이론··········································3 3.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 한글파일 피지컬 컴퓨팅이란 (피지컬 컴퓨팅 도구 특징 및 교육적 활용)
    피지컬 컴퓨팅 도구들의 특징 및 교육적 활용 피지컬 컴퓨팅 도구 특징 교육적 활용 ① 마이크로비트 마이크로비트(micro:bit)는 영국 BBC에서 출시한 프로그래밍이 가능한 작은 ... 만든 기기이다. 3D프린팅펜이라고도 한다. ... 옷이나 원단에 전도성 실로 봉제하여 스마트 의류 또는 악세사리 제작에 사용되고 있다. ⑤ 3D 펜 3D프린터의 전동장치 보드 등의 장치를 제거하고 노즐과 모터만으로 작동이 가능하도록
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.26
  • 한글파일 가산기와감산기
    반감산기 한비트의 2진수 A에서 B를 빼는 것으로 차와 빌림수를 계산하는 뺄셈회로이다. ... 두 2진수 입력 An과 Bn과 아랫든으로 빌려주는 빌림수 Kn-1을 포함하여 An-Bn-Kn-1을 계산하는 조합논리 회로이다 2진 병렬가산기 전가산기 여러 개를 병렬로 연결하여 2비트 ... 예상결과 IN OUT A4 A3 A2 A1 B4 B3 B2 B1 C0 C4 Σ1 Σ2 Σ3 Σ4 L L L L L L H H L L H H L L L L H H H L L L L L
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.25
  • 파일확장자 비트망고의 자기소개서 작성 성공패턴과 면접기출문제 입사시험경향 인성검사 지원동기작성요령
    3) 게임산업 부문의 기술은 어떻게 발전하고 있는지 아시고 있나요?4) ㈜비트망고라는 기업을 한마디로 정의하면 어떻게 표현이 되나요? ... 6) ㈜비트망고의 주요 히트 게임의 이름을 몇 개 말해 보세요.7) ㈜비트망고의 주 고객(국가)은 어떤 기업들을 말하나요?8) ㈜비트망고의 비전에서 무엇을 느꼈나요? ... 1) ㈜비트망고의 특성이 있는 홈페이지의 내용은 어떤 것들이 있나요?2) ㈜비트망고의 게임 사업 부문은 어떻게 이루어지고 있나요?
    자기소개서 | 509페이지 | 9,900원 | 등록일 2021.06.22
  • 워드파일 두나무 업체 개요 및 사업 정리
    (3) 펀딩 - 최근 지분 거래 . ... 금융 서비스 위탁 운영: 주식 정보, 주문 서비스를 하는 증권플러스(구 카카오스탁) . 2017년, 가상화폐 거래소인 ‘업비트’ 론칭 . 2019년, 비상장 주식 거래 플랫폼 ‘증권플러스 ... 유럽투자은행이 이더리움 플랫폼 위에서 디지털 채권을 발행 (2) 업비트 개요 - 구분 . 상품, 거래유형: 업비트는 명목화폐와 가상화폐를 모두 거래할 수 있음 .
    리포트 | 4페이지 | 1,500원 | 등록일 2021.05.21
  • 한글파일 울산대학교 디지털시스템 기말고사
    UP의 0,1로 UP카운터와DOWN카운터로 컨트롤 2. 8 bit Johnson counter를 D flip flop을 사용해서 설계하시오. 3. 8 bit shift right register를 ... Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C=19(1001),(C=1) Test3. 2(0010)+3(0011)= ... D flop flop을 이용하여 설계하시오. 4. half adder와 full adder를 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 워드파일 [DIP]ImageEnhancement
    Threshold 3. Theory & Opinion Image Enhancement Bit-plane slicing The sobel operator Opinion 4. ... Bit-plane slicing MSB and LSB Analysis of Source Code 2. The Sobel operator a. ... Bit-plane slicing MSB and LSB Analysis of Source Code // Processing // fpout = fopen("Lena(256x256)_msb.raw
    리포트 | 10페이지 | 2,000원 | 등록일 2020.02.14 | 수정일 2020.12.13
  • 파일확장자 디지털 논리회로 Verilog 과제
    고찰입력이3개 출력이 2개인 1bit 전가산기 4개를 연결해 4bit 전가산기를 만들었다. ... HW1-Design1-(1) 1BIT FULL ADDER4. 고찰입력3개 출력이 2개인 1bit 전가산기를 코딩해보았다. ... 입력a,b 와출력 sum은 [3:0] 표시를 붙혀 4bit임을 나타낸다. [0]부터 [3]까지 자릿수를 나타낸다.
    리포트 | 11페이지 | 3,000원 | 등록일 2019.06.26
  • (주)에코비트그린
    기업보고서
  • 한글파일 서울시립대 전자전기설계2(전전설2) 3주차 결과보고서
    먼저 위 사진처럼 비트 단위 연산자를 사용하였는데, 4비트 XOR 게이트이기에 4비트 형식의 입력값을 (3:0)과 같이 작성하여 4비트 입, 출력값을 선언하였고. ... 최종정리 지난 주차 실습 시간에 사용했던 직접 회로도를 그리는 방식 말고도 베릴로그라는 언어를 사용하여 반도체 설계를 하는 기본적인 3가지 방법(비트 연산자, Gate primitive ... 2019년 전자전기컴퓨터설계실험2 3주차 실험보고서 1.
    리포트 | 14페이지 | 1,500원 | 등록일 2019.10.13
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 결과 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    입력: (C, B, A) = 101 출력:00100000 입력: (C, B, A) = 110 출력:01000000 입력: (C, B, A) = 111 출력:10000000 (4) 2비트 ... 이제 S의 값과 D0, D1의 값에 따라 두 입력 중 하나의 입력이 출력되는 것이다. 1bit mux 2bit mux 2bit mux의 논리도를 구현하면 다음과 같다. 2bit mux는 ... 함은 D0, D1이 2bit로 입력이 됐을 때, 출력인 Y도 2bit로 출력되는 것을 뜻한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 파워포인트파일 4장 비트코인 알트코인
    자 (Trusted 3rd party) ’가 거래의 정확성을 검증하고 , 그 결과를 기록 및 관리하게 하는 것 ■ 법이나 참여자간 합의 등을 통해 신뢰할 수 있는 제 3 자를 선정해 ... (BTS) - 스팀코인과 매우 비슷한 특징 , 금융코인 , 비트쉐어의 투자금을 모아 투표시스템을 통해 투자를 하고 수익을 나눌수 있는 기능까지 추구 . ure , private ... 있다는 장점 알트 종류 플랫폼 , 가상화폐를 기반으로 한 체크카드와 앱을 제공하는 플랫폼 모네로 - 익명성과 추적이 불가능한 성질을 갖는 분산 암호화 화폐 . “ sec 비트쉐어
    시험자료 | 58페이지 | 2,000원 | 등록일 2020.04.23 | 수정일 2021.06.08
  • 한글파일 [공간정보의 이해와 응용] QGIS 사용 및 후기
    QGIS 설치 현재 윈도우 10 64비트 운영체제를 사용하고 있어 QGIS 3.16 윈도우용 64비트를 다운받는다. https://qgis.org/ko/site/forusers/download.html ... 3.
    리포트 | 8페이지 | 3,000원 | 등록일 2022.06.30
  • 한글파일 BCD 가산기 설계 결과보고서
    부호비트를 뺀 나머지 비트에 대해 2의보수를 취한다. 3. ... 0X11) 1 7 18(0X12) 1 8 [표 3-31] 연습문제 1. 4비트 가산기/감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 디지털시스템 설계 실습 7주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※BCD 가산기 설계 1.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 한글파일 디코더, mux, Comparator, 4비트 감가산기
    (출처 - [네이버 지식백과] 비교 회로 [comparator, 比較回路] (전자용어사전, 1995. 3. 1., 성안당)) 4)4bit-adder-subtractor (출처 - http ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor 2. ... (출처 - http://princess.kongju.ac.kr/digitalmain/dvlec/textbook/chap06/digital06_3.htm)
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    이진화 십진법의 각 자리의 수를 왼쪽으로 1bit씩 shift 시킨다. 2. 왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3 를 해준다. 3. 1번을 반복한다. 4. ... 실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 7장 순차논리회로 설계 및 구현(1) 예비
    T-플립플롭을 이용한 3비트 리플 카운터 n비트 이진 리플 카운터는 별도의 외부 회로가 필요 없으며, 단지 n개의 플립플롭만으로 구성할 수 있다. ... 그림 7-4의 3비트 이진 리플카운터에 대한 천이표를 작성하고 동작을 확인하라. ☞ CP 출력 Q2 Q1 Q0 0 L L L 1 L L H 2 L H L 3 L H H 4 H L L ... [그림 7-4] 3비트 이진 리플 카운터 T 플립플롭은 D 플립플롭이나 JK 플립플롭으로 쉽게 구현되기 때문에 상용화 된 제품으로 출시되어 있지 않다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 [ATmega128]마이크로프로세서 16비트타이머카운터 결과보고서
    ATmega128의 16bit Timer&Counter -ATmega128에는 16비트의 타이머/카운터1과 타이머/카운터3을 내장하고 있다. ... 4,3 비트 : 총 16개의 파형 발생 모드 설정 - TCCR B 레지스터 비트별 기능 - TCCR C 레지스터 비트별 기능 -타이머/카운터1,3의 16비트 카운터 값을 저장하고 ... 관련 레지스터 타이머/카운터1,3 제어 레지스터 ●타이머/카운터 1과 3의 제어 레지스터 A,B,C -TCCRnA 7~2비트 : 비교 출력 모드 설정 -TCCRnA 1,0비트 TCCRnB
    리포트 | 12페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 한글파일 디지털시스템실험 3주차 예비보고서
    4bits binary-to-BCD Convertor의 회로도와 진리표 p0 = b0 p1 = b1b3' + b1'b2b3 p2 = b2b3' + b1b2 p3 = b1'b2'b3 ... 라인 디코더를 이용해 4bit binary-to-BCD 컨버터 회로를 설계하여, Verilog 코딩을 한다. 3. ... 그러나, 3-to-8 디코더는 3비트 코드를 해독하여 코드의 각 구성 성분마다 하나씩, 전부 8개의 출력을 제공하는데 사용할 수 있다. ② Binary-to-BCD Converter
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 논리회로실험 순차회로 설계
    또한 D FF를 사용해서 4bit 병렬 레지스터와 시프트 레지스터를 설계하였다. ... 비트 수만큼 데이터 전송경로를 가져 직렬방식에 비하여 복잡하다. - 직렬방식은 레지스터에 직렬 입력과 직렬 출력을 연결하여 한 번에 한 비트씩 전송한다?. ... 또한 병렬 레지스터의 회로도를 직접 그려보고 4 bit 시프트 레지스터를 설계함으로써 레지스터의 구조와 설계를 터득한다. 2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업