• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 41-60 / 23,931건

  • 파일확장자 서강대학교 컴퓨터학실험II 5주차 예비레포트 3-A / Parity bit 및 다중출력회로
    Parity bit의 사용과 응용Parity 생성기 및 조사기의 이론Wired OR의 기능 및 Open Collector Circuit2-bit 이진 비교기의 특성조합회로의 다중출력
    리포트 | 4페이지 | 1,500원 | 등록일 2008.06.18
  • 파일확장자 [전자전기]중앙대학교 3학년1학기 16bit마이크로프로세서(예비)
    이번 실험을 통해 TRAINER의 여러 명령과 동작을 통한 조작순서와 8개의 function keys와 16개의 Heaxadecimal(16진수) keys로 이루어진 각키의 기능과 사용방법을 숙지하고 이해할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2006.01.31
  • 한글파일 [전산기구조론] 컴퓨터 정수표현의 3가지 방법[4비트를 적용하여]
    이 형식에는 2바이트로 표현되는 단형과 4바이트로 표현되는 장형이 있으며, 왼 쪽의 1비트는 부호 비트(sign bit)로서, 양수는 0, 음수는 1로 표현하며 나머지는 정수부로서 ... 이 보고서에서는 고정 소수점 데이터의 3가지 형식들의 특징과 정수 13을 예로 들어 4비트를 적용시켜 정의하려 한다. 2. ... Morrris 저 김종상 역 2) 디지털회로와 시스템 설계 형설출판사 (1998) - 김경식외 저 . { 정수표현의 3가지 방법을 4비트를 적용하여 실제 값들의 변화를 정리하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2003.05.07
  • 한글파일 [논리회로] 논리회로 3bit switch tail ring counter (링카운터) - 직접 실험으로 구성
    - 3 bit switch tail ring counter 1) Ring Counter의 정의 - Ring Counter : 전자적 펄스 계수장치. 환형계수기라고도 한다. ... 과정 : 3개의 D플립플롭(74LS74)을 Bread Board에 직렬로 연결하고 논리회로책의 4bit ring counter의 설계도를 기초로 회로를 구성하였다. ... bit switch ring counter를 설계 하는 과정에서 3개의 D플립플롭 중, D플립 플롭 한개당 2개의 NAND Gate를 사 용하여 설계를 했다. 74LS00은 칩 하나에
    리포트 | 5페이지 | 1,000원 | 등록일 2003.12.02
  • 한글파일 시립대 전전설2 Velilog 결과리포트 3주차
    비트연산자 모델링이란 모듈의 input과 output을 설정해준 후 연산자, 즉 게이트 설계를 비트연산자를 사용하여 설계하는 것이다. ... Gate Primitive Modeling 2) 1bit Full Adder ?Behavioral Modeling 3) 4bit Full Adder ? ... 배경 이론 3. 실험 장비 4. 예상결과 5. 시뮬레이션 결과와 실험 결과의 비교 1) 1bit Full Adder ?
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 워드파일 디시설, 디지털시스템설계 실습과제 8주차 인하대
    이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다. 따라서 파라미터 M, N, W 3개를 입력 받았다. ... N bit binary up/down counter 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림2 : waveform (eup = 1) 그림3 : waveform ... W의경우 입력 받는 데이터의 크기인 8로, N은 총 16칸의 값을 위해 16을, M은 16개의 칸을 가리켜 읽거나 쓰도록 하는 신호를 위해 4비트([3:0] rd addra, ….
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 서울시립대 전자전기설계2(전전설2) 4주차 결과보고서
    즉 전가산기 진리표와 일치하는 결과가 도출되었다. 3. 실습3(4비트 가산기 행위수준 모델링 사용 설계) 실습3에서는 4비트의 입력값을 받는 4비트 가산기를 설계하는 것이다. ... 응용과제(4-bit Comparator 설계, 2의 보수 입력) 응용과제는 음수도 나타낼 수 있는 2의 보수를 이용하여 2개의 입력값을 받아 서로 비교하여 수의 상대적 크기의 상태를 ... 그 결과로 alb에 해당하는 3번 LED에만 불이 들어왔다. 5.
    리포트 | 11페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    다. 8bit-Counter 8비트 카운터는 8비트의 정보들로 이루어진 신호가 어떠한 입력에 따라 이미 정해져 있는 다음 상태(8 비트로 이루어져 있는 어떠한 정보)로 넘어가는 카운터이다 ... [그림 8-3] 2비트 상향 카운터의 상태도 [그림 8-4] 2 비트 하향 카운터의 상태도 5) 직렬 카운터와 병렬 카운터 그림 8-1의 카운터 구조는 가끔 동기식 직렬 카운터라고 ... VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서 가.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 전전설2 3주차 실험 결과레포트
    LSB(Least Significant Bit, 최하위 비트)가 정해지지 않았으므로 정확한 숫자를 나타낼 수가 없다. 4’h4를 실제로 비트로 표현한다면 4비트이고 16진수로 4를 ... 5’D3을 실제로 비트로 표현한다면 5비트이고 10진수로 3을 나타낸 것이므로 3이 된다. 3’b01x를 실제로 비트로 표현한다면 3비트이고 2진수지만 수 끝에 x가 붙어있으므로 ... 봤을 때 실험결과가 XOR 게이트의 입력에 따른 출력의 결과와 일치한다. 4-bit XOR 실험) 실제로 버스 스위치1~8과 스위치 1을 4비트 XOR 게이트의 입력, LED1을
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    시뮬레이션 한 결과 모두 같은 값을 갖는 동일한 결과를 확인할 수 있었다. (3) combo box를 통한 동작 결과 ① ② ③ 4bit xor gate pin설정 a0 a1 a2 ... 1-bit full adder 회로 (1) Verilog HDL (gate primitive이용) (2) simulation (3) combo box를 통한 동작 결과 Input ... full adder pin설정 입력은 a, b, cin이고 button sw1~3을 통해 값을 입력하고 출력은 cout, sum이며 LED1과 9에 나타난다. 1bit full adder는
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 파워포인트파일 전가산기와 반가산기 ppt
    계산기 S=A 3 A 2 A 1 A 0 +B 3 B 2 B 1 B 0 의 예시 (4bit) 전감산기 입력변수 3 자리의 뺄셈에서 차 (D) 와 빌려오는 수 (B) 를 것이다 . ... 즉 , 윗자리로 부터 빌려온 값을 포함하여 세 비트의 뺄셈을 할 수 있는 회로 이다 . ... 조원 : Ch.3 반가산기와 전가산기 개요 1. 기본개념 배타적 or 게이트 반가산기와 전가산기 전가산기를 병렬로 연결해 n bit 계산 만들기 전감산기 2.
    리포트 | 16페이지 | 4,000원 | 등록일 2019.09.24
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    이진화 십진법의 각 자리의 수를 왼쪽으로 1bit씩 shift 시킨다. 2. 왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3 를 해준다. 3. 1번을 반복한다. 4. ... 실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 금오공대 아날로그회로응용설계 - 데이터 변환기 비교기 칩 이용 회로 설계 ADC 설계실습 레포트
    칩 내부의 비교기를 활용 - 아래 binary 2-bit flash ADC를 참고하여 0V~4V의 입력 전압 범위를 가지는 3-bit flash ADC의 아날로그 부분을 설계하고 ... Data converter (비교기 칩 이용 회로 설계) ■ 비교기 칩 이용 회로 설계 - 비교기 칩 설명 - 설계 내용 1. 3bit flash ADC의 아날로그 부분 설계 KA339 ... 느낀점 : 이전 실습에서 PSpice Simulation을 한 내용을, KA339 IC 칩을 이용하여 Bread Board에 직접 소자를 연결해보며 설계해봄으로써 3-bit flash
    리포트 | 5페이지 | 10,000원 | 등록일 2021.07.02 | 수정일 2022.01.20
  • 한글파일 [논리설계] 연습문제 2장 풀이
    0 0 1 1 (3) 신드롬 단어: s8 = 1, s4 = 1, s2 =0, s1 = 0 -> 1100, 12번째 비트가 오류 ... 연습문제 2장 2.15 - 4비트 길이 (1) 1의 보수: 1100 / 2의 보수: 1101 (2) 1의 보수: 0011 / 2의 보수: 0101 (3) 1의 보수: 0000 / 2의 ... 코드 BCD코드에 0011 더하여 표현 = 426 (3) 84-2-1 코드 우측 두 비트 음의 자릿수, 8,4,-2,-1 가진다 = 137 (4) 그레이 코드 0111 0101 1001
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 워드파일 디지털 논리회로 3주차 예비보고서
    디지털 논리실험 및 설계 3주차 예비보고서 실험 준비 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오. 2-bit 복호기는 2개의 input값을 받는다. input값은 ... 따라서, 0001 -> 00, 0010-> 01, 0100->10, 1000->11이므로, 2-bit복호기와는 반대의 역할을 한다. 1.3 기본 실험 (3)에서 7을 표시하기 위한 ... 이 입력은 활성 높음으로 설정되면 디코딩되는 현재 4비트 입력과 상관없이 모든 세그먼트에 불이 들어옵니다. 1.6 ACTIVE LOW와 ACTIVE HIGH에 대하여 설명하시오. 0을
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 한글파일 전자공학응용실험 - 아날로그-디지털 변환기 예비레포트
    Pspice simulation : 3 bitADC 회로 (그림 28-13)를 2bit ADC로 줄여서 시뮬레이션 : 7. ... -이득오차 : 실제 DAC의 전달특성 곡선의 기울기와 이상적인 직선의 기울기와의 차이를 말한다. (2) R=1k, Vref=6V, 3비트의 아날로그 디지털 변환기를 가정하고 아날로그 ... 아날로그 입력 Vin이 들어오면, 식 (28.1)과 같이 N비트의 디지털 출력이 나온다.
    리포트 | 9페이지 | 2,500원 | 등록일 2021.12.20
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    Half_adder test bench Half_adder simulation Half_adder pin (2) One bit 전가산기 1) 1비트 반가산기의 module instantiation ... 테스트벤치로 시뮬레이션 1. 1bit comparator설계 2. module instantiation사용 3. ... 배경 이론··········································3 3.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 (A+ report) 컴퓨터의 이해 - 마이크로프로세서에 대하여 설명하라. 마이크로프로세서의 역사, 구조, 최신 동향에 대하여 설명하라.
    " 3.3 3세대 - 16비트 PAGEREF _Toc100175430 \h 4 Hyperlink \l "_Toc100175431" 3.4 4세대 - 32비트 PAGEREF _Toc100175431 ... 질로그 역시 16비트 프로세서인 Z-8000을 출시했다.이렇게 3사의 마이크로프로세서가 퍼스널컴퓨터(PC) 시장을 열게하면서 가장 인기 있는 16비트 프로세서가 되었다. 3.4 4세대 ... \h 3 Hyperlink \l "_Toc100175429" 3.2 2세대 - 8비트 PAGEREF _Toc100175429 \h 3 Hyperlink \l "_Toc100175430
    방송통신대 | 11페이지 | 6,000원 | 등록일 2022.04.06
  • 한글파일 정보통신개론 2문제( 다음과 같은 (12,8) 해밍 부호에서 기수 패리티라고 가정하고 해밍 비트..., 기수 패리티를 가진 해밍 부호에서 정보 비트를 이용하는 방법과 비트 구성 열을 이용하여...)
    열 이용 3.2 정보 비트 이용 3. ... 1.2 비트 구성 열 이용 2. 3번 문제..............................................................Page.4 3.1 비트 구성 ... 1101 3.1 비트 구성열(9주차 1차시, 27p) 1) 기수 패리티 = 홀수 패리티이다. 10110000로 예를 들면, 1 2 3 4 5 6 7 8 9 10 11 12 P _{
    리포트 | 5페이지 | 2,500원 | 등록일 2021.10.18
  • 한글파일 일상에서 직접 활용할 수 있는 기억법
    비트(bit)식 기억법 학습할 내용이 많거나 기계적인 암기를 요할 때 그것들을 꼭꼭 다져서 최소의 정보단위로 만들어서 기억하면 효과적이다. ... 활석방형인정석황강금 ⇒ 활 잘 쏘는 석방형이 인정 없는 석황을 강금했다. 3. 영상화(시각화) 기억법 상상력을 동원하여 입체적으로 영상화하여 기억하는 것이다. ... 비트식으로 압축하면 ‘태정태세 문단세……’로 암기해야 할 분량이 최소화된다. 사회나 역사와 같이 종류가 많거나 순서를 일일이 암기해야 하는 과목에 효과적이다. 2.
    리포트 | 1페이지 | 2,500원 | 등록일 2023.05.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업