• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(262)
  • 리포트(222)
  • 시험자료(34)
  • 방송통신대(3)
  • 자기소개서(2)
  • 논문(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"패리티 검사기" 검색결과 1-20 / 262건

  • 한글파일 패리티 검사기 VHDL 설계
    패리티 검사기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. ... 설계한 패리티 검사기는 입력 데이터의 ‘1’의 개수가 짝수이면 정상, ‘1’의 개수가 홀수이면 오류가 발생 되는 코드이다. ... 수행 및 제출(2) 입력데이터 결과 00000000 0 10100011 0 11010010 0 11111111 0 패리티 검사기는 데이터 전송 시에 오류를 검사하기 위해 사용된다.
    리포트 | 2페이지 | 1,500원 | 등록일 2022.05.26
  • 한글파일 패리티검사기 설계 결과보고서
    오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 VHDL로 설계하라. ... 설계된 패리티 검사기를 컴파일하고 시뮬레이션하라. 시뮬레이션 입력을 패리티 발생기에서 만든 8비트로 했을 때의 결과를 표에 넣고 시뮬레이션 결과를 나타내라. ... 그중에서 짝수 패리티 검사기를 만들었는데, 짝수 패리티는 전체 비트에서 ‘1’의 개수가 짝수가 되도록 패리티 비트를 정하는 것이며, 데이터 비트에서 ‘1’의 개수가 홀수면 패리티 비트를
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 한글파일 비교기, 보수기, 패리티 검사기 설계
    실험 제목 ① XOR Gate (7486사용) ② 선택형 XOR XNOR Gate ③ 1비트 비교기 ④ 보수기 ⑤ 패리티 검사기 2. ... XOR Gate를 이용하여 비교기, 보수기, 패리티 검사기를 구성할 수 있다. 실험 결과를 바탕으로 검토의 실험을 할 수 있고 각 실험의 특성을 자세히 알 수 있다. 3. ... 입력 SUB가 High(1)이고 입력 스위치 A, C가 Low(0) B, D일 때 출력 LED X, Z는 Low(0) 출력 LED W, Y는 High(1)로 나온다. ⑤ 패리티 검사기
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 한글파일 디시설 - 패리티 발생기, 검사기 설계
    결과 보고서 ( 패리티 발생기, 검사기 설계 ) 제목 패리티 발생기, 검사기 설계 실습 목적 패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 패리티 검사기 결과 짝수 패리티 검사기의 코드를 작성한 후 시뮬레이션을 해 본 결과 예상했던 바와 같이 입력에서 ‘1’의 개수가 홀수 일 때 ‘1’을 출력하여 총 ‘1’의 개수가 짝수개가 ... 검사기에서는 procedure에서 패리티를 포함한 9비트 입력 데이터를 받은 후, 각 비트에 대해 XOR 연산하고 검사 결과를 procedure의 출력 포트에 할당한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 <디지털회로실험> 멀티플렉서와 디멀티플렉서, 패리티발생기와 검사기
    실험4) 2-비트 짝수 패리티 발생기/검사기 실험 4에서는 2-비트 짝수 패리티 발생기/검사기 회로를 구성하였다. ... 패리티 발생기와 검사기의 개념을 파악하고 구성 방법을 익힌다. 2. ... 발생기 입 력 출 력 A B L1 L2 L3 0 0 0 0 0 0 1 0 1 1 1 0 1 0 1 1 1 1 1 0 실험 4) 2-비트 짝수 패리티 발생기/검사기 출력 패리티 발생기
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 한글파일 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    실습목적 패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다. 2. ... 검사한 데이터 입력데이터 결과 000000000 1 000000001 0 000001001 1 101000001 0 101000011 1 110000001 0 101000101 1
    리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    [시험과제 04] 패리티 검사기 설계[수행 및 제출(1)]짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고 오류가 발생하면 ‘1’을 출력하는 패리티 검사기
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 논리실험 (패리티 발생기와 검사기)
    ◈제목 - 패리티 발생기와 패리티 검사기 ◈실험 목적 (!) ... 패리티 검사기 3개의 정보 비트와 패리티 비트는 수신측으로 전송되어 패리티 검사기에 입력된다. ... 정보를 송신하는 측에서 패리티 비트를 만드는 회로를 패리티 발생기(Parity generator)라 하며, 수신측에서 패리티검사하는 회로를 패리티 검사기(Parity checker
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 한글파일 [회로실험] 패리티 발생기와 패리티 검사기
    패리티 발생기와 패리티 검사기 1. ... 검사기( 짝수& 홀수) - 3개의 정보 비트와 패리티 비트는 수신측으로 전송되어 패리티 검사기에 입력된다. ... 이러한 패리티 비트를 생성해 내는 회로를 패리티 발생기(Parity Generator)라고 하고, 수신측에서 패리티검사하는 회로를 패리티 검사기(Parity Checker)라고
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.09
  • 한글파일 패리티 발생기와 패리티 검사기
    4비트 패리티 발생기
    리포트 | 2페이지 | 무료 | 등록일 1999.10.28
  • 파일확장자 [논리회로]Exclusive-OR게이트 및 패리티 검사기
    패리티 검사기 2진 비트(bit)의 합이 홀수이면 홀수 패리티(odd parity), 짝수이면 짝수 패리티(even parity)라고 하는데 이를 검출해 내는 것을 패리티 검사기라 ... 이는 동일 논리를 검출하는데 이용되며 가산기, 감산기의 기본 게이트가 된다. ... [그림 4-6]도 A,B,C,D의 1의 수가 홀수이면 Z=1, 짝수이면 Z=0로 판별할 수 있고, P'단자를 접지시키고 P=0인 경우를 홀수 패리티, P=1인 경우를 짝수 패리티
    리포트 | 11페이지 | 1,000원 | 등록일 2002.12.05
  • 한글파일 패러티 발생기와 검사기
    결과 1)2-비트 짝수 패리티 발생기 2-비트 짝수 패리티 발생기/검사기 1.B 2.페리티에러 3.페리티 4.A 토의 패리티의 종류에는 짝수 패리티와 홀수 패리티가 있다. ... 이 결과를 L1, L2, L3에 LED를 연결하고 접지에 연결하여 값이 1일 때 LED가 켜지도록 하여 결과를 눈으로 볼 수 있었다. 2-비트 짝수 패리티 발생기/검사기는 에러 발생하였을 ... 각각 보조비트를 사용하여 전체 개수를 짝수 홀수로 만든다. 2-비트 짝수 패리티 발생기는 A와 B 두 비트와 패리티 비트를 포함하여 모든 1의 개수를 짝수로 만들어 주는 회로라고 설명할
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 워드파일 패리티체크 verilog 설계
    제목 패리티 검사기 설계 실습 목적 패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 홀수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고, 오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 Verilog로 설계하라. ... 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다. 실습 내용 실습결과 Verilog, VHLD설계 1.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 파워포인트파일 디지틀 논리회로 실험5 ExclusiveOR 게이트와 그 응용
    이론 Exclusive OR = XOR 이라고 표현 , 이 게이트는 그레이코드 , 패리티 검사기 , 2 진 비교기 등에 이용되는 소자이다 . 식으로 표현하면 과 같은 식이다 . ... NAND 게이트 ,NOR 게이트 ( 비교적 간단한 소자 여러 개 ) 를 사용하여 XOR 게이트를 구성해보았고 1 학기 디지털논리회로시간에 XOR 게이트를 사용해서 그레이 코드 , 패리티검사기 ... 그리고 패리티발생기 , 검출기회로도 구성해보았고 실제 이론과 동일하다는 것을 확인했다 .
    리포트 | 13페이지 | 1,500원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 한글파일 과제점수 만점!!) 에러 검출 기법 4가지(패리티비트, 블록합, CRC, 체크섬)의 비교 및 에러 검출코드 생성
    한 블록의 데이터 끝에 1비트 크기의 패리티 비트를 추가하는 방법이며 홀수 패리티 검사와 짝수 패리티 검사 두 가지의 검사 방법이 있다. ... 데이터 신뢰도가 높고 오버헤드 크기가 작으며 오류 검출(랜덤 오류, 버스트 오류 등)에 뛰어나다는 장점을 가지고 있지만, 에러의 위치나 정정은 할 수 없다는 단점을 가지고 있다. ... 패리티 비트 검사 기법과 CRC 검사 기법의 에러 검출률 비교 4.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.07
  • 한글파일 [방송통신대학교]컴퓨터구조_동영상강의,기출_핵심요약노트
    계수기, 데이터 버퍼, 클록, 장치번호 디코더, 플래그, 패리티 발생 및 검사회로로 구성되어 있음 데이터 전송 속도의 차이 : 두 장치 사이에 입출력 데이터 버퍼(I/O data buffer ... 장치번호 디코더, 패리티 발생회로, 패리티 검사회로로 구성 컴퓨터 내부 장치와 입출력장치의 여러 가지 차이점을 해결하기 위한 하드웨어 장치로, 입출력 포트(I/O port)라고도 ... (캐시기억장치) ## 병렬처리기의 종류 ① 파이프라인 처리기( pipeline processor ) ② 배열 처리기( array processor ) ③ 다중 처리기( multiple
    방송통신대 | 34페이지 | 3,000원 | 등록일 2023.04.09
  • 파워포인트파일 [마이크로컨트롤러]10th_USART
    수신버퍼 UDR 을 읽어 수신 데이터를 가져옴 : 폴링 방식 ▶ 수신 버퍼 UDR 에 데이터가 수신되었는지를 검사 ▶ UCSRA 레지스터의 RXC 플래그 비트를 검사 → RXC 플래그 ... 수신기 활성화 설정 : UCSRB 레지스터의 RXEN 비트 =1 4. ... 장치관리자에서 통신 포트 검사하여 선택 실습하기에 앞서 _ 하이퍼 터미널 사용법 17 4. 통신 프로토콜 설정 하이퍼 터미널 화면 5.
    리포트 | 25페이지 | 1,500원 | 등록일 2022.10.10
  • 한글파일 컴퓨터에서 음수를 표현하는 방법을 정리하고 장단점을 기술하시오
    패리티 비트를 검사하여 오류를 식별하고 필요한 경우 수정할 수 있다. 류를 감지하기 위해 해밍 코드의 패리티 비트를 확인할 수 있다. ... 나머지 비트들은 숫자의 크기 또는 절대값을 나타낸다. 장점: 간단한 해석: 부호 크기 표현은 숫자의 부호를 직접 나타내므로 이해와 조작이 용이하다. ... 이러한 방법을 장점과 단점과 함께 검토해 보겠다: 부호 크기 표현: 부호 크기 표현에서 가장 중요한 비트(MSB)는 부호 비트로 예약되어 숫자가 양수인지 음수인지를 나타낸다.
    리포트 | 4페이지 | 6,000원 | 등록일 2023.08.31
  • 워드파일 데이터 통신 및 컴퓨터 통신 10판 / 성진미디어 / 6장 복습문제
    K-비트 블록 데이터 또는 메시지가 주어지면 송신기는 프레임 검사열이라는 n-k비트열을 생성하여, 최종 n비트의 프레임이 미리 정해져 있는 어떤 숫자로 나누어 떨어지게 된다.수신기는 ... Redundancy Check)는 순환중복검사의 약자로, 매우 강력하고 가장 흔한 오류 검출 기법의 하나이다. ... 6.1 패리티 비트는 가장 간단한 비트 오류 검출방식으로, 비트 값의 문자 1의 개수가 짝수인지 홀수인지 확인하기 위해 각 7비트 IRA문자에 추가되는 비트이다.6.2 CRC(Cyclic
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.15
  • 한글파일 정보통신개론(1~5번 과제) 첨부파일 이미지를 확인하여, 과제를 수행하세요.(5문제 중 4문제 택) - 주어진 5문제 중 4문제를 선택하여 풀어 제출하시오.(A4 5p 이내)
    기수 패리티는 홀수 패리티이다. 부호화된 비트열 전체에 1의 개수가 홀수가 되도록 패리티 검사 비트를 추가 8. ... 기본 구성요소 - 시프트 레지스터(Shift Register) - Modulo-2 덧셈기(Modulo-2 Adder) - 병렬-직렬 변환기(Parallel-to-Serial Converter ... 검사보다 검출능력이 개선됨. (9주차 2차시 29페이지 참조, 데이터링크프로토콜) ARQ 방식에 의한 에러 검출 방식, 부가적인 데이터 비트에 의한 대역폭 증가가 되며 부호화 과정은
    리포트 | 7페이지 | 2,500원 | 등록일 2021.09.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업