• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 61-80 / 23,931건

  • 워드파일 디시설, 디지털시스템설계 실습과제 11주차 인하대
    그림 \* ARABIC 3 : 모듈구현 결과 그림 SEQ 그림 \* ARABIC 4 : 실제 net list 구성 그림 SEQ 그림 \* ARABIC 5 : waveform 32bit ... 따라서 4비트 CLA의 critical path delay는 10ns – 3.328ns = 6.672ns 가 된다. 32비트 CLA의 경우 LUT 와 Flip Flop, IO포트의 ... CLA의 경우 report에서 확인할 수 있는 WNS는 3.328ns이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 정보통신개론 총괄과제
    하지만 p3에서 1이 나타났고 1 2 4 8의 위치 중 p3의 값은 4이므로 4번째 비트가 오류가 났다는 것을 알 수 있습니다. ... 홀수면1을 적어줍니다 송신을 밑의 그림대로 받았다고 치면 p1에 들어갈 숫자는 10101로 1이 홀수개 이므로 1입니다. p1 = 10101 ->1 p2 = 11111 ->1 p3 ... 발생되어 오류 코드 : 1 1 1 0 1 1 1 0 0 1 1 1 로 수신이 되었다고 할 때 1의 개수 p1= 110101(짝수) -> 0 p2= 111111(짝수) -> 0 p3=
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.25
  • 워드파일 P2p와 비트토렌트
    _Toc65964980 \h 3 Hyperlink \l "_Toc65964981" 6.비트토렌트의 단점 PAGEREF _Toc65964981 \h 3 Hyperlink \l "_Toc65964982 ... \l "_Toc65964979" 4.비트토렌트의 개념 PAGEREF _Toc65964979 \h 3 Hyperlink \l "_Toc65964980" 5.비트토렌트의 장점 PAGEREF ... P2P와 비트토렌트 경영정보시스템 과제 경기대학교 평생교육원 문** 목차 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc65964974" II.P2P PAGEREF
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.17
  • 한글파일 디지털 회로 실험-가산기와 감산기
    비트간의 덧셈에서 아랫자리에서 올라오는 캐리와 함께 더해야 하므로 입력이 3개가 필요하다. 출력은 2개이다. ... 실험순서5. 2bit 병렬가산기회로의 입력(A1, A0, B1, B0)에 해당신호(1 또는2(전가산기)의 입력에 해당신호(1 또는 0)를 넣었을 때 나오는 출력을 시뮬레이션하여 첨부하시오 ... 실험5는 2bit 병렬가산기 회로로 입력 A1, A0, B1, B0에 따른 출력 S1, S0, C1의 결과를 보면 입력 A1, A0, B1, B0이 모두 0이면 출력 S, C1은 1로
    리포트 | 18페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    (a) 논리도 (b) 1011을 시프트하는 파형 그림 9-2 4비트 직렬 시프트 레지스터 (a) 핀 배치도 (b) 논리도 그림 9-3 54/7495A 그림 9-3의 54/7495A에 ... 이를 통해 링 카운터는 값들이 반복적으로 나옴을 확인할 수 있다. (3) 8-bit Serial-in Parallel-out Shift Register 74164를 이용하여 8-bit ... 응용실험 (3)을 이어서 진행한다. CLK D ?궜 ?궜 ?궜 ?궜 ?궜 ?궜 ?궜 ?
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 [논리회로실험] 실험7. Shift Register 결과보고서
    3 0 0 0 1 1 0 4 0 0 0 0 1 1 5 0 0 0 0 0 1 모든 다이오드가 꺼지고, bit1, bit2에서 불이 들어온 다음 한 비트씩 이동하고 모두 이동한 후에는 ... 클럭을 인가할 때마다 한 비트씩 이동하여 차례대로 불이 들어왔고 모두 이동한 후에는 다이오드가 꺼졌다. 3) 실험 3 : Shift Right Circulating shift Register ... PR1과 PR2에 다시 1을 입력 -> BIT2와 BIT3에 불이 들어오는지 확인한다. - 위 과정을 반복하여 출력이 Shift되는지 확인한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    Signed는 음수를 처리하기 위해 1비트를 부호비트로 사용하므로 실질적으로 수를 저장할 수 있는 비트 수는 7비트이다. ... 실험결과 두 입력모두 1을 넣었을 때 LED2에서 전원이 들어옴을 확인할 수 있었다. (2) One bit 전가산기 1) 1비트 반가산기의 module instantiation module ... 실험 결과············································3 2.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 방통대 방송대 컴퓨터구조 출석수업과제물 A+
    H : 시프터는 7개의 연산 수행을 하며, 시프터 연산 선택을 위해 3비트가 필요하다. ... ▶ 정답: 3번 (6, 6, 6) 레지스터가 32개 있을 때, 레지스터 개수를 나타내기 위해서는 5비트가 필요하다. ... 따라서 제어단어는 MUX A, MUX B, 도착레지스터, 산술논리연산장치, 시프터를 다 모아서 4 + 4 + 4 + 5 + 3 = 20 비트가 된다.
    방송통신대 | 4페이지 | 2,500원 | 등록일 2024.03.18
  • 파워포인트파일 Semiconductor Device and Design - 9-10__
    Function of the 1bit adder and subtracter 1bit subtracter 3. ... Bn to generate the last bit of the output along last carry bit Cout . 3. ... L ayout of the 1bit adder and subtracter 2. F unction of the 1bit adder and subtracter 3.
    리포트 | 12페이지 | 2,000원 | 등록일 2023.06.22
  • 파워포인트파일 [마이크로컨트롤러]7th_8비트타이머카운터
    개수만을 카운트하게 됨 타이머 / 카운터의 동작 [ 참고 ] 주파수와 주기와의 관계 - 주파수와 주기는 역수의 관계를 가짐 → f=1/T [ 예 ] 시스템 클럭 1MHz → 1 μ s 3 ... 8 비트 타이머 / 카운터 타이머 / 카운터 ? ... 타이머 / 카운터 : 타이머 / 카운터 0, 타이머 / 카운터 2 16 비트 타이머 팅 : OCR0==TCNT0 → OC0 (Low) Fast PWM 모드 0 0 OC0 차단 (
    리포트 | 37페이지 | 1,500원 | 등록일 2022.10.10
  • 한글파일 과제점수 만점!!) 에러 검출 기법 4가지(패리티비트, 블록합, CRC, 체크섬)의 비교 및 에러 검출코드 생성
    값(검사합)인 0000001과 데이터 1011101 0100001이 실제로 전송할 비트열이 된다. 3. ... 에러 검출 기법 비교 2. 4가지 기법에 대한 에러검출 코드를 만들고 실제 전송할 비트열 만들기 3. 패리티 비트 검사 기법과 CRC 검사 기법의 에러 검출률 비교 4. ... -데이터 비트열: *************1 1) 패리티 검사 필자의 전화번호 마지막 자릿수는 홀수인 3이므로, 홀수 패리티를 적용한 7비트 전송 시스템으로 비트열을 작성하도록 하겠다
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.07
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    [실습 3] Single-bit Full Adder 설계 Logic Pin 설계한 Single-bit Full Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력 ABCin의 ... 실험 장비 HBE Combo-II SE 3. ... XC3S200은 4320개의 로직 셀을 포함한다. b.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 한글파일 [논리회로실험] 실험7. Shift Register 예비보고서
    예상결과 클럭이 인가될 때마다 BIT1, BIT2 -> BIT2, BIT3 형식으로 출력이 넘어갈 것이다. ... 직렬 정보 변환기 정보가 병렬 형태로 모든 stage에 입력되고 마지막 stage로 정보를 한 비트씩 이동시켜 직렬로 읽을 수 있다. 3. ... PR1과 PR2에 다시 1을 입력 -> BIT2와 BIT3에 불이 들어오는지 확인한다. - 위 과정을 반복하여 출력이 Shift되는지 확인한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 워드파일 디시설, 디지털시스템설계 실습과제 4주차 인하대
    크기를 4비트로 늘린 것이다. ... Waveform을 살펴보면 각 s2, s1, s0의 경우마다 i7 ~ i0이 정확하게 선택되는 것을 볼 수 있다. 4bit fulladder는 1bit fulladder의 입력신호의 ... (1,1) i4, 거짓인 경우(1,0) i3을 출력한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 패리티 비트와 해밍 코드의 비교(예제 포함) 설명
    비트 위치 1 2 3 4 5 6 7 8 9 10 11 12 기호 P1 P2 D3 P4 D5 D6 D7 P8 D9 D10 D11 D12 P1 √ √ √ √ √ √ P2 √ √ √ √ ... 비트 위치 1 2 3 4 5 6 7 8 9 10 11 12 기호 P1 P2 D3 P4 D5 D6 D7 P8 D9 D10 D11 D12 생성된 코드 0 1 0 1 0 1 0 1 1 1 ... 비트 위치 1 2 3 4 5 6 7 8 9 10 11 12 기호 P1 P2 D3 P4 D5 D6 D7 P8 D9 D10 D11 D12 해밍 코드 0 1 0 1 1 1 0 1 1 1
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.16
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    상업용 RAM은 각 워드(word)가 8비트에서 64비트로 된 수천 개의 워드 용량을 가지고 있는데 여기에 있는 메모리 장치의 모형을 확장시키면 용량이 큰 메모리 장치의 설계도를 만들 ... 64BIT RAM (74LS89) ME(pin2) WE(pin3) 동작 OUTPUT 0 0 WRITE COMPLEMENT OF DATA INPUTS 0 1 READ COMPLEMENT ... 물론 쓰기동작은 어드레스에 저장되어 있는 데이터를 파괴한다. ※ 1BIT RAM Address와 RW신호에 따라 주소를 찾고 DATA를 저장하거나 가져온다. ※ 2BIT RAM ※
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 주어진 5문제 중 4문제를 선택하여 풀어 제출하시오 다음과 같은 (12,8) 해밍 부호에서 기수 패리티라고 가정하고 비트를 결정하는 방법에서 정보비트를 이용하는 방법과 비트구성열을 이용하는 방법을 사용하여 패리티 비트를 구하시오
    다음과 같은 (12, 8) 해밍 부호에서 기수 패리티라고 가정하고 해밍 비트 ( =1, 2, 3, 4)을 결정하는 방법에서 정보 비트를 이용하는 방법과 비트 구성 열을 이용하는 방법을 ... 다음과 같은 (12, 8) 해밍 부호에서 기수 패리티라고 가정하고 해밍 비트 ( =1, 2, 3, 4)을 결정하는 방법에서 정보 비트를 이용하는 방법과 비트 구성 열을 이용하는 방법을 ... Decimal (정보 비트) Binary (10진수) 3 0 0 1 1 5 0 1 0 1 7 0 1 1 1 11 1 0 1 1 P₃P₂P₁Pο 0 1 0 1 1 2 3 4 5 6 7
    리포트 | 8페이지 | 10,000원 | 등록일 2021.09.30 | 수정일 2021.10.05
  • 워드파일 서강대학교 디지털논리회로실험 레포트 7주차
    가장 일반적인 형태의 counter 유형은 n-bit binary counter이며 n개의 flip-flop으로 구성되어 2n개의 상태를 갖는다. ... Counter의 일반적인 cycle -비동기 counter 별도의 부가적인 소자 없이 n개의 flip-flop만으로 가장 간단한 형태의 n-bit binary counter를 구성할 ... 그림 SEQ 그림 \* ARABIC 2. 4-bit binary ripple counter -동기 counter 동기 counter는 counter를 구성하는 모든 flip-flops의
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 컴구조 3장 mod-4 4번부터
    (111110011110)_{2} 3-7 십진수 215를 다음의 형식에 따라 저장하였을 때, 12비트 레지스터의 각 비트 값을 보여라. 2 215 107 … 1 53 … 1 26 ... S S 36 98 가수(Sign bit 26bit) 지수(Sign bit 8bit) ※ 최소 : -1.0000 …… 00 * 1 0000 0000 = -~1.0~*~2 ^{-256} ... 따라서 -1에 대해 2 ^{-256}, 최대일 때 26bit로 나타낼 수 있는 최대 수는 모두 1일 때이므로 (1~-~2 ^{-26} )~*~2 ^{255} 가 된다. 3-23.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.01
  • 워드파일 디시설, 디지털시스템설계 실습과제 5주차 인하대
    클 때) 그림 SEQ 그림 \* ARABIC 3 : wave form (a 와 b가 동일할 때) 그림 SEQ 그림 \* ARABIC 4 : wave form (a가 b보다 작을 때 ... 따라서 ||연산 왼쪽의 연산으로 출력 값이 결정된다. 8비트 comparator는 4bit comparator 두개를 이어서 계층적 코드로 구현하였다. ... 8bit cascadable comparator 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림 SEQ 그림 \* ARABIC 2 : wave form (a 가 b보다
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업