• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,930)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(448)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 181-200 / 23,930건

  • 워드파일 [A+]중앙대학교 마이크로프로세서 응용회로설계실습 led_dotMatrix 실습 결과보고서
    왼쪽이나 오른쪽으로 1bit씩 이동하는 경우에 대해 각각 설명하여라. ... Shift left()의 경우 1bit shift당 2를 나누는 것으로 같은 효과를 낼 수 있다. dot.c 코드 중 dot_decimal 선언 부분을 채우고 장비를 통해 얻은 결과를 ... 제출하시오. [3을 입력했을 때의 모습] 3을 입력했을 때 dot matrix에 3이 출력되는 것을 알 수 있다. [15를 입력했을 때의 모습] 15를 입력했을 때 led가 up
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.27 | 수정일 2023.04.05
  • 한글파일 부경대학교 전자회로실험 보고서 가산기
    구현된 2비트 덧셈기의 동작을 확인하고 실험 결과 보고서 3번의 [표 6-12]에 입력과 출력 사이의 진리표를 실험결과 값으로 작성하라. ... 우리는 NAND게이트를 이용하여 반가산기, 전가산기, 2bit 덧셈기를 구현했다. (실제로 전가산기와 2bit 덧셈기는 구현하지 못했다. ... 실험과정 5.1과 5.2에서 구현한 반가산기와 전가산기를 연결하여 2 비트의 덧셈기를 완성하라.
    리포트 | 4페이지 | 2,500원 | 등록일 2020.06.03 | 수정일 2023.12.08
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    A,B,C,D : 4비트의 Input값을 넣어주는 부분이다. LOAD : Count시킬 때는 이 입력은 High로 주고 해야 Output이 Load된다. ... ) 8-bit up counter with a synchrounous reset (1) 로직 설계 및 컴파일 및 코드 분석 (2) 핀 설정 5. ... Vending Machine (4) 8-bit up counter with a synchrounous reset (5) Mealy Machine for the Serial I/O
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 VHDL을 통해 구현한 Counter
    1.목적(Purpose) 이번실습은 지난번 실습에서 배운 FlipFlop을 이용하여 3bit의 counter를 설계하는 실습이다. ... 2진수는 수가 커질 때, 1비트 이상의 자리수가 바뀌는 경우가 존재하지만, gray code는 항상 1비트씩만 변화되기 때문에, 회로의 복잡성과, noise를 줄이는데 용이하다. ... 그림5. 4bit counter diagram 5)Gray code 2진수를 나타내는 방법중 하나로, 수의 크기가 변할 때, 인접한 수 사이에 한 자리만 변하게 만든 코드로, 일반적인
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    실습 시간에는 Spartan3 XC3S200 FPGA를 사용하니 Button SW1은 63번 핀에, LED1은 191번 핀에 연결하여야 한다. 6. 4-bit ripple carry ... 이 블록은 2048bit가 있으며 이것은 각각 RAM, ROM, FIFO, Dual-port RAM 등을 구현할 수 있다. ... 그렇기에 데이터를 저장하기를 원할 때마다 전원이 인가되었을 때 별도의 저장 절차를 밟아야 한다. 3. XC3S200의 최대 논리 게이트 구현 개수는?
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 컴퓨터의 이해 교양 정규과제 리포트
    , 큐비트 사용 , 큐샵 인공지능, 신경망 3. (27-3)을 8비트에서 2의 보수 방식을 이용하여 수행하라 27의 8비트 2진수 : 00011011 3의 8비트 2진수 : 00000011 ... 마이크로프로세서와 개인용 컴퓨터 등장(마이크로소프트, 애플사 설립 시기) 4세대 초고밀도 집적회로 (VLSI) 객체지향적 언어 5세대(양자 컴퓨터) 초고밀도 집적회로 (VLSI) 양자비트 ... ->(1의보수) -> 11111100 -> (2의보수) 11111101 00011011 + 11111101 = 100011000 = 00011000 (27-3 =24) 4.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.05
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    [그림 11] 5) 3-bit 비교기 XOR gate를 이용하여 두 3비트 2진수가 같으면 0, 다르면 1을 출력하는 논리 회로 를 [그림 12]와 같이 설계할 수 있다. f=(x ... [그림 21] [그림 22] 5) 3-bit 비교기 구현 실험에서는 3-Input OR gate를 사용할 수 없으므로 [그림 12]의 회로 대신 2개의 2-Input OR gate를 ... [그림 12] 3. 사용 부품 1) 74LS125 tri-state buffer의 기능을 하는 소자이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 로봇셰프 활용사례 [로봇,로봇셰프,주방로봇,몰리,파치,식당로봇,푸드,푸드테크]
    피자로봇 ‘파치 3. ‘스파이스’ 식당로봇 4. 바리스타 ‘카페X’ 5. 삼성봇 셰프 6. 우한 로봇요리사 ‘푸돔’ 7. 달콤커피, 로봇카페 '비트' 8. ... 달콤커피, 로봇카페 '비트' 국내에서는 커피 전문점 달콤커피가 2018년 초 로봇이 음료 주문부터 제조까지 하는 로봇카페 '비트'를 선보였다. ... 피자 만드는 것만 하면 1시간에 120판이 가능하다. 1시간에 최대 40판을 만드는 사람과 비교하면 3배의 실적이다. 기계이기 때문에 24시간 운영도 가능하다. 3.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.18
  • 파일확장자 비트분말을 첨가한 절편의 품질특성
    investigated the substitution of beet powder for rice flour in julpyun recipes with amounts of 0, 1, 3, ... fiber content, DPPH radical scavenging activity and total phenol content of 18.90, 1.37, 0.17, 0.91, 3.65 ... The overall preference scores showed a high overall acceptability for julpyun made with 3% beet powder
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 Fracture 및 Plate & Screw의 원리와 이해
    Drill bit & Tap of Screw 구 분 Diameter Name Drill bit Tap Large 4.5 Cortex 3.2 4.5 6.5 Cancellous 3.2 ... 6.5 5.0 LHS 4.3 X Small 3.5 Cortex 2.5 3.5 4.0 Cancellous 2.5 4.0 3.5 LHS 2.8 X 4. ... 3.
    리포트 | 7페이지 | 4,500원 | 등록일 2021.09.13
  • 한글파일 부경대학교 전자회로실험 보고서_멀티플렉서와 디멀티 플렉서
    0 5 5 2 0 0 3 + 2 5 5 5 0 2 0 2 3 + 3 5 5 5 5 2 2 0 고찰 : 멀티플렉서 74153칩을 이용하여 반가산기와 전가산기 그리고 2bit 덧셈기를 ... 실험과정 5.1과 5.2에서 구성한 회로를 연결하여 2 bit 덧셈기를 구성하라. 2 bit 덧셈기의 출력에 LED를 연결하여 동작을 확인하고, 입-출력 전압을 측정하여 실험 결과 ... 0 0 0 0 2 0 2 + 1 5 0 0 5 0 2 2 2 + 2 5 5 0 0 2 0 0 2 + 3 5 5 0 5 2 0 2 3 + 0 5 0 5 0 0 2 2 3 + 1 5
    리포트 | 1페이지 | 2,000원 | 등록일 2020.06.03 | 수정일 2023.12.08
  • 한글파일 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    - 3’b01x 비트 크기 : 3 밑수 : Binary 값 : 01X(Decimal)(X는 아직 지정되지 않은 미지수) Stored되는 값 : 01X - 4’h4 비트 크기 : 4 ... Binary) = 9(Decimal) Stored되는 값 : 1001 - 5’D3 비트 크기 : 5 밑수 : Decimal 값 : 3(Decimal) Stored되는 값 : 00011 ... 우선순위 인코더 module enc_for(in, out); input [7:0] in; -> 8비트 입력값을 가지는 in 지정 output [2:0] out; -> 3비트 출력값을
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 미디어에 나타난 서양 전쟁사 과제 - 영화 '제독 미힐 드 로히테르'로 보는 영란전쟁
    왕자(윌리엄 3세) 3.시대적 배경 4.전쟁의 발단, 전개, 결말 5.주요전투 - 4일 전투 - 메드웨이 전투 6.영화와 역사의 차이점 7. ... 영화 ‘제독: 미힐 드 로이테르‘로 보는 영란전쟁 목차 1.영화 줄거리 소개 2.영화의 주요 인물 - 미힐 드 로이테르 - 마르텐 하페르센 트롬프 장군 - 요한 드 비트 - 오라녜 ... 이후 공화당파이자 홀란트의 총리인 ‘요한 드 비트’가 미힐에게 장군직을 권하지만 15년간 집에 6개월 밖에 못있었을 정도로 국가에 헌신한 미힐은 이제는 은퇴하고자 이를 거절한다.
    리포트 | 8페이지 | 2,500원 | 등록일 2020.12.05
  • 한글파일 멀티미디어 '문자 데이터 관련 문제 풀이' 리포트
    그러면 왜 9 bit가 나왔는지 설명해보겠습니다. n을 비트 수라고 했을 때, 300개의 문자를 표현하기 위해서는 2 ^{n}이 300보다 크거나 같아야 합니다. ... 이 방법에서는 추가한 비트가 ‘1’인 경우에는 한글이기 때문에 2 byte를 읽고, 추가한 비트가 ‘0’인 경우에는 ASCII 코드에 해당하는 영문자이기 때문에 1 byte를 읽습니다 ... 왜냐하면 주기억 장치에서 주소 할당 단위가 8 bit(=1 byte)이기 때문에 바이트로 표현해야 하는데, ASCII 코드는 바이트로 표현하기 어렵기 때문입니다. 2-2-3 앞의 문제를
    리포트 | 3페이지 | 4,000원 | 등록일 2022.11.15 | 수정일 2022.11.24
  • 파일확장자 [컴퓨터과학과] 2020년 1학기 정보통신망 기말시험 과제물
    통신 시스템의 3대 주요 요소를 나열하시오. ... 계층 (Network layer)2 계층 데이터 링크 계층 (Data link layer)1 계층 물리 계층 (Physical layer)물리 계층 : 물리적 전송매체상의 비구조적 비트 ... Application layer)6 계층 표현 계층 (Presentation layer)5 계층 세션 계층 (Session layer)4 계층 전송 계층 (Transport layer)3
    방송통신대 | 6페이지 | 9,100원 | 등록일 2020.05.28
  • 한글파일 [중앙대 마이크로프로세서 응용회로 설계실습] 5주차 결과 보고서 DOT제어(5주차)
    왼쪽이나 오른쪽으로 1bit씩 이동하는 경우에 대해 각각 설명하시오. 왼쪽/ 오른쪽으로 1bit씩 이동한다는 것은 십진수로 생각하면 TIMES 2/÷2를 한다는 것이다. ... . 45 종료된다. 3. ... 3 DOT에 3이 나왔다가 잠시 후 사라진다. 26 LED가 아래로 이동하고, DOT에 숫자 2가 아래로 이동한다. 32 LED전체가 깜빡거리고, DOT에 2가 반전되면서 깜빡거린다
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.24
  • 한글파일 Counter 회로제작 (기초공학실습, KAIST)
    2진수의 한 단위를 비트(Bit) 라고 한다. 앞에서 배운 T F-F은 1비트의 카운터라고도 부른다. ? ... 이것을 이용하여 카운터회로를 구성하기 위해 먼저 2진수숫자와 관련하여 4비트 카운터 신호체계를 살펴보면 아래 그림과 같다. 1. 2진 카운터 플립플롭은 입력 펄스가 들어올 때마다 상태를 ... [리플 counter] [동기형 카운터] 3.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 한글파일 제어계측공학과 졸업작품 『4족로봇』
    Bit3 = USBSn(USART Stop Bit Select) - USBSn = 1 : 스탑비트 2개로 설정 UPMn1 UPMn0 패리티모드 0 0 패리티체크 기능을 사용하지 않음 ... 들어가 있는 상태에서 수신 시프트 레지스터에 새로운 데이터가 수신 완료 되고 다시 그다음 수신데이터인 3번째 데이터 의 스타트 비트가 검출된 것) - Bit2=UPEn(USART ... INT 8개 - 2개의 8비트 타이머/카운터, 2개의 16비트 타이머/카운터 - 2개의 8비트 PWM 채널, 6개의 16-bit PWM 채널 - 8채널, 10비트 ADC - 2채널
    논문 | 17페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 한글파일 서울시립대 전자전기설계2(전전설2) 5주차 결과보고서
    응용과제 응용과제는 4비트 2진법 수에서 오로지 1이 3개 들어가 있는 경우에만 숫자 1이 출력되게 하는 if문과 assign문을 각각 한 번씩 사용하는 코드를 작성하는 것이다. if문을 ... 실습5 2비트 2:1 MUX회로 case문 설계 실습 5는 2비트 2:1MUX 회로를 case문을 사용해 설계하는 것이다. ... 위와 같이 case 문을 사용하면 synthesize 과정에서 ‘Xst:737 - Found 2-bit latch for signal .
    리포트 | 10페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 컴퓨터 구조 과제 2 - 소프트웨어학과
    : 8개 ② s=5, r=3, w=3, d=2 / Direct TAG bits → 2(s-w)bits / Associative mapping TAG bits → 5(s)bits / ... 2-way set associative mapping TAG bits → 3(s-d)bits ③ Direct Mapping : 주 메모리의 각 블록을 하나의 가능한 캐시 라인으로 ... 용량과 접근 시간 및 비용 사이에는 연관성이 존재하는데 접근 시간이 단축되면 비트 당 비용이 증가하고 큰 용량을 갖게 되면 비트 당 비용이 절감되며 용량이 크면 접근 시간이 느려진다
    리포트 | 3페이지 | 2,000원 | 등록일 2020.05.18 | 수정일 2020.05.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업