• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 141-160 / 23,931건

  • 파워포인트파일 2장 자료형과 연산자
    = 4 true 비교 연산자 좌측이 우측보다 크다 . 3 2 true = 좌측이 우측보다 크거나 같다 . ≥ 2 = 3 false 좌측이 우측보다 작다 . 4 5 true = 좌측이 ... 이 3 가지 연산자 사이의 우선순위는 같다 . +( 덧셈 ), -( 뺄셈 ) 연산자가 그 다음으로 계산된다 . ... 89 90 대문자 ‘a’ ‘b’ ‘c’ ‘d’ ‘e’ ‘f’ … ‘x’ ‘y’ ‘z’ 97 98 99 100 101 102 … 120 121 122 소문자 ‘0’ ‘1’ ‘2’ ‘3’
    시험자료 | 9페이지 | 4,000원 | 등록일 2019.10.02
  • 한글파일 마이크로프로세서 아두이노 우노 외 종류 중 2가지 이상 조사하여 이름 및 구조를 서술하시오
    라즈베리 파이 구조 및 용도 3. ESP8266 - 저렴한 IoT 솔루션 4. 마이크로비트 - 교육용 마이크로컨트롤러 Ⅲ. 결론 Ⅳ. 참고문헌 Ⅰ. ... 앞으로도 라즈베리 파이는 기술 발전과 혁신의 중심에 서 있을 것입니다. 3. ... 마이크로비트 - 교육용 마이크로컨트롤러 마이크로비트는 주로 교육 목적으로 설계된 소형 마이크로컨트롤러 보드입니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.03.12
  • 한글파일 디지털논리회로(챕터1,2,3)
    다음 해밍코드 중 에러가 있는지 검사하여라. ① 1 0 1 1 0 1 1 1 1 1 1 0 비트위치 P1 P2 D3 P4 D5 D6 P7 P8 D9 D10 D11 D12 비트 결과 ... (풀이) 8bit = 1Byte → ∴ 8 × 8 = 64bit ④ 1킬로바이트는 몇 비트와 같은가 ? ... P1 P2 D3 P4 D5 D6 P7 P8 D9 D10 D11 D12 비트 결과 0 1 1 0 1 1 0 0 1 1 1 0 P1 0 0 1 1 0 1 1 P2 1 1 1 1 0 1
    리포트 | 7페이지 | 1,000원 | 등록일 2022.10.10
  • 한글파일 8장 순차논리회로 설계 및 구현(2) 예비
    목적 가. 4비트 동기 카운터를 설계하고 구현한다. 나. 4비트 레지스터를 설계하고 구현한다. 다. 3비트 시프트 레지스터를 설계하고 구현한다. 2. 이론 가. ... [그림 8-8] 4비트 병렬입력/병렬출력 시프트 레지스터의 구조 3. 예비보고 가. ... [그림 8-9] 4비트 동기식 Up 카운터 나. 3비트 동기식 하향 카운터를 설계하고 출력값의 변화를 관찰하여 표 8-2를 완성하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오. Number # of Bits Base Dec. Equiv. ... 수행 과제 (1) Lab 1 - 2-input AND Gate의 설계를 bit operators(비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 ... ) Lab 5 - Four-bit 데이터 a[3:0]와 b[3:0]의 XOR 출력 y[3:0]를 다음의 각 방법으로 구현하시오.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오. 4’b1001 : 4비트 2진수 1001 5’D3 : 5비트의 부호가 있는 ... 10진수 3을 의미 00011 3’b01x : 3비트 2진수미한다. always문 안에서만 reg 값의 변경이 가능하고 wire값은 그 자체로 변경이 불가능하다. ... 실험 방법 [실습 1]: Two-input AND 게이트의 설계를 bit operators (비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    Exercise 5-3 Building a 4-Bit Digital Counter Exercise 5-3은 Exercise 5-2의 555디지털 클락 회로에 7493 4비트 2진 카운터를 ... Exercise 5-3 Building a 4-Bit Digital Counter 등등 > 7493 4비트 2진 카운터의 아웃풋을 LED에 연결한 연결한 상태 ( Q1 to LED ... 이번 실험에서는 Exercise 5-3의 7493 4비트 2진 카운터의 아웃풋을 DIO에 연결하여 RABVIEW로 읽어 들여 시간간격 측정한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    ) ● 2비트 2 : 1 MUX 회로를 설계하시오 입력 A : BUS Switch 1,2 입력 B : BUS Switch 3, 4 입력 S : BUS Switch 8 출력 Q : LED ... (bcd to excess 3) module => 8bit로 확장 => verilog text => simulation => ucf(PIN 설정] 4. ... Unsupported procedural assignment 이거 뜨면 always나 assign같은 이런 종류의 함수를 잘못쓰고 있는거다. 3.8bit 모듈에서 instance안에
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 워드파일 [A+]중앙대 마이크로프로세서응용회로설계실습 과제 FND (6주차)
    명령형 인자가 3개일 때의 입력 조건에 맞게 입력되어서 DOT matrix에는 명령형 인자의 개수인 3이 나타난다. ... 비트 연산 이후 shift 연산을 통해 비트 연산 한 앞의 4개 비트를 다시 뒷자리로 보내서 다른 FND에 대한 값을 얻을 수 있다. ... 마이크로프로세서 응용회로설계실습 6주차 과제 a. fnd_hexa_number()의 비트연산이 어떤 역할을 하는가?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.09
  • 한글파일 [프로그래밍 c언어] 공용체와 구조체, 비트필드 조사 레포트
    비트필드란? 비트 필드(bit field)는 컴퓨터 프로그래밍에 쓰이는 자료 구조이다. ... 공용체의 배열을 사용하면, 각각 다양한 데이터 타입을 담을 수 있으면서도 동일한 크기의 단위로 이루어진 배열을 생성할 수 있다. (3). ... 멤버들은 하나의 대표 변수로 참조한다. (3). 구조체는 배열과 달리 개개의 변수들이 제각기 다른 데어터 타입을 가질 수 있다는 것이 차이점이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.05.04
  • 한글파일 2018 컴퓨터 구조 과제 1 (chapter 1,2,3)- 단국대학교 소프트웨어학과
    또 MAR와의 모든 데이터 경로는 12비트이며 AC와의 데이터 경로는 40비트, MQ와의 경로 역시 40비트이다. 3. 연속으로 역수계로 합산되는 단위를 측정하는 경우 ? ... Indicate the width(in bits) of each connection(data/address path) between components(registers, AL circuits ... IAS구조에서 한 단어의 길이는 40비트이고 MBR에는 메모리에 저장할 단어들이 들어있기 때문에 MBR와의 모든 데이터 경로는 40비트이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.22
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 예비 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    이때 플립플롭은 1비트를 기억하는 메모리 소자이며, 레지스터 구성회로로 널리 사용된다. ... 배경 이론··········································3 3. ... 실험 목적··········································3 2.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 [A+]중앙대 마이크로프로세서응용회로설계실습 과제 DOT matrix (5주차)
    왼쪽으로 1bit씩 이동하려면 2를 곱하고, 오른쪽으로 1bit씩 이동하려면 2를 나눠서 shift와 같은 연산 결과를 얻을 수 있다. n bit 씩 이동하는 경우에는 2의 n제곱을 ... 3을 입력했을 때 : 몫이 0, 나머지가 3이라서 led는 변화 없고 dot을 통해 숫자 3에 해당하는 dot이 1초동안 켜진다. 26을 입력했을 때 : 몫이 2, 나머지가 6이라서 ... 반복하고 숫자 2에 해당하는 dot이 0.3초 켜지고, 숫자 2에 반전된 dot이 0.3초 켜지고를 4회 반복한다. 45를 입력했을 때 : 몫이 4라서 ximulator가 종료된다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.09
  • 한글파일 디지털시스템실험 - 동기식 UP/DOWN 카운터, 순차회로 설계 결과보고서
    존재한다. output [3:0] Q;// 4비트 출력 Q를 정의한다. reg [3:0] Q;// 4비트 레지스터 Q를 정의한다. always @(posedge RESET or posedge ... 코드설명은 밑줄 표기 하였습니다. module UDCOUNTER(RESET, CLK, UPDN, Q); input RESET, CLK, UPDN; // 입력으로는 1비트 입력이 3개
    리포트 | 2페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 아주대 논리회로실험 실험7 Shift Register 예비보고서
    실험 이론 1) Shift Register - Shift Register는 소자에 저장된 데이터를 왼쪽 또는 오른쪽으로 각 클럭의 틱마다 한 비트씩 이동시킬 수 있는 n-bit Register이다 ... 따라서 n-bit의 입력은 n클럭 이후 데이터가 출력되는데, 이를 통해 입력된 데이터 를 n클럭 지연시킬 수 있다. ... Shift Register의 구조는 연결된 Flip-Flop의 구조로 n-bit의 Register의 경우 n개의 Filp-Flop이 필요하다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 컴퓨터 그래픽의 파일 포맷 형식을 구분하여 서술하시오.[컴퓨터그래픽1]
    비트맵 포맷 2. 벡터 포맷 3. 문서파일 포맷 4. 사운드 포맷 5. 3D 파일 포맷 6. 동영상 포맷 Ⅲ. 결론 Ⅳ. 참고문헌 Ⅰ. ... RGB 신호를 디지털화한 데이터 포맷이며, 8비트 알파채널을 지원하고, Sequence방식이 지원되어 영상, 3D 등의 이미지로 활용된다. ... 본론 : 컴퓨터 그래픽 파일 포맷 형식 컴퓨터 그래픽 파일의 포맷형식은 비트맵 포맷, 벡터 포맷, 문서파일 포맷, 사운드 포맷, 3D 파일 포맷, 동영상 포맷 등으로 구분할 수 있다
    리포트 | 6페이지 | 3,600원 | 등록일 2020.02.09 | 수정일 2023.11.24
  • 파워포인트파일 [문화의 이해] 엘비스 프레슬리(Elvis Presley)의 주요 활동 및 시대상황에 대한 분석
    모즈룩 3. 비트족 *So what ? * 출처 Elvis Presley? ... 반항의 시기 _ 모즈룩 1950 년대 미국에서 히피의 등장을 알리는 서곡 . =“ 비트 제너레이션 ” 낭만적인 개성을 추구하고 기성세대에 맞서는 자유분방한 젊은이들 . ... 고도의 기계문명에 대한 반항과 이성적인 것에 대한 부정 , 그리고 원시적인 본능을 표출함 . → 엘비스 프레슬리 세대 반항의 시기 _ 비트족 (Beatniks) So what?
    리포트 | 19페이지 | 2,000원 | 등록일 2021.02.18
  • 한글파일 서울시립대 전자전기설계2(전전설2) 6주차 결과보고서
    실습1 4비트 병렬 데이터 저장/전송 설계임의의 값을 테스트 벤치에 입력해본 결과 각각의 clk가 상승엣지일 때 값이 변하는 것을 알아볼 수 있었다. ... 클럭 하나를 거치지 않고 바로 전송/저장이 되는 것이다.3. ... 실습3 코드에 변화 주기실습3에서는 4:2 인코더를 case문을 사용하여 디자인하는 것이었다. ‘=’을 사용하지 않고 ‘
    리포트 | 14페이지 | 1,500원 | 등록일 2019.10.16
  • 한글파일 홍익대학교 집적회로 최종 프로젝트
    Fig. 3 4) 최종적으로 Microwind로 layout을 수행하기 위한 1비트 전가산기 회로는 위 내용들을 참고하여 다음과 같은 구성 으로 변경 가능(7개의 NAND 게이트, ... 전가산기의 실제 레이아웃 Fig. 8 3) 실제 회로도와 레이아웃 비교(매칭) Fig. 9 Fig. 10 3. ... < CAD Assignment #2 > 1. 1비트 전가산기 논리회로 분석 및 변환 Fig. 1 1) NAND게이트, NOR게이트 인버터만 layout할 수 있는 Microwind
    리포트 | 18페이지 | 5,000원 | 등록일 2023.09.04
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    Figure 4. 3:8 decoder This encoder of Figure 5. creates 3-bit output from the 8-bit input. ... The example of a decoder when the input is 3 bits and the output is 8 bits is in Figure 4. ... Decoder A decoder is a combinational logic circuit which converts n bits of input to maximum 2 ^{n} bits
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업