• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 101-120 / 22,428건

  • 한글파일 VHDL을 이용한 2비트 감산기, 4비트 가감산기
    REPORT 1. 2비트 감산기 - 209페이지 Source 코드 참고 entity sub2 is port ( A : in STD_LOGIC_VECTOR ... "P138"; -- LED 1번 NET BR(1) LOC = "P136"; -- LED 2번 NET BR(0) LOC = "P135"; -- LED 3번 < 동작 확인 > 2. 4비트 ... std_logic_vector(2 downto 0); begin TMP
    리포트 | 9페이지 | 2,000원 | 등록일 2011.06.22
  • 한글파일 4-bit Right Shift Register
    비트 사이의 중간 신호(4 bit 크기를 갖는 vector)를 선언해서 데이터를 하나씩 오른쪽으로 옮길 수 있도록 한다. process문을 사용하여 CLK가 변화할 때만 right ... 과 목 : 과 제 명 : 담당교수 : 학 과 : 학 년 : 이 름 : 학 번 : 제 출 일 : 2010. 4. 15. 목 4-bit Right Shift Register 1. ... ISE프로그램을 이용하여 4 bit right shift register를 코딩해보고 modelsim을 이용하여 wave 파형을 확인해본다. 2.
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • 한글파일 4비트 동기식 카운터 설계
    '1') then if (t='1') then q ... t_out(0)); t2 : tff port map ( t_in(1), clk, t_out(1)); t3 : tff port map ( t_in(2), clk, t_out(2)); t4 ... syn port map (clk, rst, q); rst
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 워드파일 4bit Timing Signal Generator
    고찰 4-Bit Timing Generator를 설계하여 확인하는 프로젝트이었다. 소프트웨어는 Multisim2000을 사용하였다. ... Digital Logic Circuit Report : 4bit Timing Signal Generator 박선우 교수님 제출일자 : 2009. 11. 17(水) 2005890010 ... Load와 Counter 를 제어할수 있게 하는 회로를 Logic Converter를 이용하여 설계하였다. 4. 참고문헌 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.03
  • 한글파일 vhdl 4bit 병렬가산기
    A2과 B2그리고 A1와B1의 가산에서 발생한 C1를 가산 => A2+B2+C1 => 가산결과 합S2와 자리올림 수 C2발생 4. ... 0); B : in bit_vector(3 downto 0); Cin : in bit; Sum : out bit_vector(3 downto 0); Cout : out bit); ... bit; Cin : in bit; Cout,sum : out bit); end component; signal C : bit_vector(3 downto 1); begin FA0
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.09
  • 한글파일 4bit alu
    가감 연산시 회로는 2의 보수를 통한 계산으로 첫 번째 bit를 부호 bit로 하여 알맞은 값을 이끌어 냈다. 이로써 회로가 정상적으로 작동함을 확인할 수 있었다. ... 교과서 page 115의 그림 4.10에 보여진 arithmetic logic unit에 대해서 VHDL로 기술하고, 성능이 정상적으로 동작되는지를 확인하시오. ... ;-------------------------unsigned overflow s_over
    리포트 | 11페이지 | 1,000원 | 등록일 2006.10.22
  • 파일확장자 4비트 ALU 설계 및 검증 (8개 인스트럭션)
    리포트 | 3,000원 | 등록일 2014.12.01
  • 한글파일 4-bit Adder 회로 설계
    설계실습 10. 4-bit Adder 회로 설계 학과 전자전기공학부 학번 조/이름 담당교수 수업시간 실험일 1. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라. (5) 4-bit Adder 회로를 위의 전가산기 회로를 이용해 설계하여라. ... bit binary adder(74LS83) 1개 - LED 10개 - Toggle Switch 15개 - 점퍼선 다수 3.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • 워드파일 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    (마지막장 그림 참조) 이 과정에서 연산이 끝난 후에 첫번째비트 fulladder의 CIN과 마지막비트 full adder의 COUT을 제외하; COUT , S : out bit); ... 실험과정 및 소스코드 4bit full adder를 작성하기에 앞서 4bit fulladder의 구성요소로 사용할 1bit fulladder를 코딩하여야 했다. @1. 1bit full ... MUX를 사용한 fulladder 4개를 이용하여 코딩한 4bit fulladder여서 entity이름은 fourbitMUXadder라 명명하였다. 4bit fulladder는 1bitfulladder
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 파워포인트파일 4bit DAC CMOS 설계 <VLSI>
    diagram Switches and 4-bit counter were used. ... ..PAGE:1 VLSI DESIGN 4-bit DAC (Final Report ) 2010. 06. 08 ..PAGE:2 What is DAC? ... code into an analog signal. * ..PAGE:3 R-2R Ladder Type For a digital value VAL, of a R-2R DAC of N bits
    리포트 | 22페이지 | 2,000원 | 등록일 2010.07.21
  • 한글파일 4Bit Ripple Carry Adder의 이론과 레이아웃
    Conception for 4 Bit Adder 일반적인 2진수 4자리 덧셈과 같은 방법이며 올림수를 C라는 CARRY로 표현 ■ 4BIT ADDER BLOCK DIAGRAM 4개의 ... 4 Bit Full Adder Layout - 목 차 - 1. Conception for 1 Bit Adder 2. Conception for 4 Bit Adder 3. ... Process of 1 Bit Adder Layout 4. Process of 4 Bit Adder Layout 5. References 1.
    리포트 | 13페이지 | 2,000원 | 등록일 2011.07.17
  • 한글파일 [VHDL]실험10. 4bit 가산기
    시뮬레이션 결과와 비교해 본다. 4bit 가산기 회로 시뮬레이션 이다. ... 즉, 3bits의 이진수인 111(7)과 110(6)을 더하면 그 결과는 1101(13)이 된다. 이를 표현하기 위해서는 4bits가 필요하다. ... 실험 결과(Experimental Results) 위의 예제에서 SUM_TEMP는 5bit 이고 OP1은 4bit 이므로 연결연산자(&)를 사용하여 ‘0’을 삽입하여 미리 5bit
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 4bit 나눗셈기 설계
    이때 덧셈연산을 수행하기위해 덧셈기가 필요하고 1비트짜리 비교기 Output을 하나하나 모두 더해 4비트의 몫( )을 만들어 낸다. ◈ 구현된 나눗셈기의 논리회로도 (Logic Diagram ... ), 나머지 4bit( , ) ▷기능 : 4bit의 제수와 4bit의 피제수가 Input으로 들어가면 연산을 통해 4bit의 몫과 4bit의 나머지가 Output으로 나오게 된다. ... 4bit 나눗셈기 설계 전자공학과 학번 : 20513619 성명 : 전 현 진 ◈ 설계 사양 ▷입력 : 피제수 4bit( , ), 제수 4bit( , ) ▷출력 : 몫 4bit( ,
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.12
  • 한글파일 [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습 10# 4-bit Adder 예비 레포트 설계실습 10. 4-bit Adder 회로 설계 1. ... 비트 가산기 회로를 위한 전가산기 회로를 이용해 설계하여라. ... bit binary adder(74LS83) 1개 - LED 10개 - Toggle Switch 15개 - 점퍼선 다수 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 한글파일 [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 결과 레포트 입니다
    bit binary adder(74LS83) 1개 - LED 10개 - Toggle Switch 15개 - 점퍼선 다수 4. ... 아날로그 및 디지털 설계 실습 10# 4-bit Adder 결과 레포트 1. 목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다. 2. ... 실습준비물 - 직류전원 장치 1대 - 멀티미터 또는 오실로스코프 1대 - Bread board 1대 - 저항(330Ω) 10개 - Hex Inverter(74LS04) 4개 - Quad
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • 한글파일 [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    . - A, B는 더해질 위치의 2개의 비트이며, CIN(캐리입력)로 표시된 변수는 바로 전 위치로부터의 캐리이다. 4비트 2진 덧셈기 블록(adder4) 설계 module adder4 ... 5 6 7 8 9 * 0 # 비트1 비트2 비트3 비트4 D플립플롭1 D플립플롭3 D0 A0 D1 A1 D2 A2 D3 A3 D0 C0 D1 C1 D2 C2 D3 C3 D플립플롭2 ... 첫 번째 4비트 데이터인 8은 LED중에서 A그룹 LED에 1000형태로 점등되고, 두 번째 비트 데이터인 9는 B그룹 LED에 1001형태로 점등된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
  • 파일확장자 [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... Adder (김정수)"이라는 문구가 좌에서 우로 이동하면서 디스플레이 된다.▪ 98와 76키패드 눌렀을 때의 결과를 Simulation을 이용하여 확인한다. ...module kit_4adder_vfd ... bcd10s;wire bcd100s;wire k_clk;...■ ModelSim-Altera를 이용한 타이밍 시뮬레이션`timescale 1ns / 1nsmodule tb_kit_4adder_vfd
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 파일확장자 [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... 한편 dotmatrix블록에서는 "김정수"라는 문자열이 우에서 좌로 이동하면서 디스플레이된다.■ 회로구현▪ 2개의 4비트 2진 코드를 BCD코드로 변환하고 더하는 블록(adder4_ ... ■ 개념설계▪ HBE-COMBO 트레이닝 키트 상에서의 동작 개요 -첫 번째 비트 데이터는 7-segment의 A그룹에 두 번째 비트 데이터는 B그룹에 표시되고, A와 B그룹의
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 한글파일 4bit adder의 설계
    설계 full adder의 RTL Schematic 인터넷으로 찾아본 4bit adder의 실제 그림 4bit adder의 설계 4bit adder의 RTL Schematic tb_adder ... VLSI CAD 특론 학과 정보통신공학과 학번 이름 4bit adder의 설계 1. half adder 설계 half adder의 RTL Schematic 2. full adder의
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.03
  • 파일확장자 [디지털시스템][VHDL} Design 4-bit Right Shift Resister 설계
    이번 실습에서는 4개의 flip-flop이 연결된 '4-bit Right Shift Register'를 설계한다. ... 교안에 주어진 entity를 가지고 '4-bit Right Shift Register'를 설계하고 이것을 test해볼 testbench를 작성한다. ... 주어진 entity에서는 모두 bit type으로 되어있는데 교안 중간에 보면 'X'가 있어서 이것을 어떻게 처리해야 할지 난감했다.
    리포트 | 11페이지 | 2,000원 | 등록일 2014.05.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업