• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계

*성*
개인인증판매자스토어
최초 등록일
2014.10.13
최종 저작일
2014.05
16페이지/워드파일 MS 워드
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

2014년 1학기 인하대 전자공학과 디지털 논리회로 VHDL과제입니다.
과제 점수는 따로 안 알려주셨지만, 디지털 논리회로 최종 성적은 A+ 맞았습니다.

목차

1. 실험목표

2. 실험과정 및 소스코드
1) 1bit full adder 코딩
2) 4bit full adder 코딩
3) Test bench 코드 작성

3. 실험결과

4. 고찰

본문내용

1. 실험목표
이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)

2. 실험과정 및 소스코드
4bit full adder를 작성하기에 앞서 4bit fulladder의 구성요소로 사용할 1bit fulladder를 코딩하여야 했다.

@1. 1bit full adder 코딩
1bit fulladder는 세가지 입력과 두가지 출력을 가지는데, 세가지 입력은 모두 bit로 선언하였고, 두가지 출력 역시 bit로 선언하였다.
세가지 입력 X, Y 그리고 CIN (carry in) 의 연산결과로 두가지 출력 S (X와 Y의 합)와 COUT (carrt out)이 출력되었다.
진리표를 작성하여 논리식을 세우는데, 두가지 출력의 논리식 모두 간단히 작성할 수 있었다.
하지만 이번 코딩에서는 출력의 논리식에 XOR를 사용하지 않고 1bit full adder를 코딩하여야 했다.


<생 략>

참고 자료

없음
*성*
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

최근 본 자료더보기
탑툰 이벤트
디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업