• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,455)
  • 리포트(1,279)
  • 자기소개서(165)
  • 이력서(6)
  • 시험자료(4)
  • 논문(1)

"vhdl" 검색결과 1-20 / 1,455건

  • 한글파일 디멀티플렉서 VHDL 설계
    ▣ 디멀티플렉서 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) [비교 설명] 과 는 모두 디멀티플렉서를 설계한 시뮬레이션의 결과이다. 두 디멀티플렉서 모두 입력의 s와 출력의 y모두 벡터로 선언하였다.(입력의 s의 경우 2비트, 출력의..
    리포트 | 3페이지 | 1,500원 | 등록일 2022.05.26
  • 워드파일 VHDL 신호등 구현
    VHDL 신호등 구현 목차 개요 및 설계 코드 구현 결과 사진 힘들었던 점 개요 및 설계 -목표 : FSM을 사용하여 신호등을 구현해야 한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • 파워포인트파일 VHDL를 이용한 FPGA설계
    작년 말 비트코인 광풍으로 한국은 몸살을 앓았다.학생 직장인 너나 할거 없이 대부분 뛰어들었으며 빨리 시작한 사람들은 돈을 땄겠지만 그렇지 못하고 돈을 잃은 사람도 훨신 많기에 이러한 도박성을 가진 가상화폐의 경각심을 알리기위해 제작하게 되었다.
    리포트 | 16페이지 | 2,000원 | 등록일 2021.09.26
  • 워드파일 VHDL을 통해 구현한 Counter
    1.목적(Purpose) 이번실습은 지난번 실습에서 배운 FlipFlop을 이용하여 3bit의 counter를 설계하는 실습이다. 유한상태기계(FSM)에 대한 개념을 알고, Moore machine과 Meanly machine의 작동방식을 알아보고, 차이점을 생각해 본..
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 패리티 검사기 VHDL 설계
    ▣ 패리티 검사기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) 입력데이터 결과 00000000 0 10100011 0 11010010 0 11111111 0 패리티 검사기는 데이터 전송 시에 오류를 검사하기 위해 사용된다. 설계한 패리티 검사기는 입력 데이터..
    리포트 | 2페이지 | 1,500원 | 등록일 2022.05.26
  • 한글파일 수의 정렬 회로 VHDL 설계
    ▣ 수의 정렬 회로 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) [ⅰ. 결과 분석] 는 수의 정렬 회로 시뮬레이션의 결과이다. a와 b에 입력한대로, seg와 select_seg에서 주어진 값들을 출력하는 것들을 확일 할 수 있었다...
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 한글파일 우선순위 인코더 VHDL 설계
    ▣ 우선순위인코더 설계 Ⅰ. 수행 및 제출(1) 입 력 출 력 d0 d1 d2 d3 d4 d5 d6 d7 a b c V 0 0 0 0 0 0 0 0 x x x 0 1 0 0 0 0 0 0 0 0 0 0 1 x 1 0 0 0 0 0 0 0 0 1 1 x x 1 0 0 0..
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 워드파일 multiplexer(멀티플렉서) VHDL 실습보고서
    multiplexers-in-digital-logic/ fundamentals of logic design, Charles, Larry L Kinney 7th 3.Source & Results 1)VHDL
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 교통신호등 제어기 VHDL 설계
    VHDL 설계를 보면 각 정상 상태에 따른 시간이 constant로 부여되어 있고 만약 대기모드가 ‘1’일 경우 YY상태가 되고 그렇지 않을 경우 rising_edge를 주면 RG의
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 한글파일 10장 VHDL 설명 및 문법 예비
    10장, VHDL 설명 및 문법 예비보고서 1. 목적 가. VHDL의 특징과 설계기법에 대하여 학습한다. 나. VHDL 설계를 위한 기본적인 문법을 학습한다. 2. 이론 가. ... VHDL의 역사 VHDL은 상위의 동작 레벨부터 하위의 게이트 레벨까지 하드웨어 및 그 동작을 기술할 수 있도록 만들어진 하드웨어 기술 언어이다. ... 2) 단점 ① VHDL 언어 자체의 복잡성 ② Full-custom 설계 방식에 비해 최적화된 설계가 어려움 다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 VHDL을 통해 구현한 ShiftRegister 실습보고서
    VHDL Source 그림11. 실습에 주어진 조건 2-1)TestBench source 그림12, 13. ... /4281774 동기/비동기 리셋 fundamentals of logic design, Charles, Larry L Kinney 7th 3.Source & Results 1-1)VHDL
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 한글파일 VHDL-1-가산기,감산기
    시뮬레이션 결과 및 설명 Schematic VHDL X는 50ns 간격으로, Y는 100ns 간격으로, Cin은 200ns 간격으로 설정했다. ... 두 가지 설계 모두 반가산기의 Truth table과 동일하고 알맞게 설계: out std_logic); end component; component OR_VHDL port(A, B ... 입력 출력 X Y XOR(inst) 0 0 0 0 1 1 1 0 1 1 1 0 입력 출력 X Y AND(inst1) 0 0 0 0 1 0 1 0 0 1 1 1 2.1 소스코드 설명(VHDL
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 VHDL_3_RAM,ROM,JK Flip Flop, Register
    실습제목: RAM, ROM 1. 주제 배경 이론 RAM(Random Access Memory)과 ROM(Read Only Memory)은 메모리의 일종이다. RAM은 읽기와 쓰기가 모두 가능한 메모리로 메인 메모리로 주로 사용되며 크게 플립플롭으로 구성되는 SRAM과 ..
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    /" http://www.digital-circuitry.com/Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl/ ... Source & Results 1)VHDL Source 2)TestBench Source 3)Result wave 시뮬레이션을 동작시킨후, 콘솔창에 run 2s를 입력하여 2초까지 ... -VHDL로 LCD를 구동하기 Hyperlink "http://linkjapan.co.kr/shop/item/1408390/" http://linkjapan.co.kr/shop/item
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results 1)VHDL Source 1-1)Lcd_display 1-2)lcd_test 1-3)data_gen 2)TestBench source 3)Result
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산 ... Source & Results 1)VHDL Source 2)Testbench Source 3)Result wave 이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본
    VHDL CODE 및 핀 할당 ·································· 9 4. ... FINAL TERM PROJECT Reporting date 2018.06.22 Major 전자공학과 Subject 디지털시스템설계실습VHDL Student ID 5 Professor ... VHDL 코드 및 핀 할당 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 한글파일 VHDL 실습(8bit Counter, State Machine) 결과
    ☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터의 Carry값이 1일 때만 동작하게 한다. 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 ..
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 [논리회로설계실험]VHDL을 통해 구현한 RAM
    1.목적(Purpose) 이번실습은 ROM과 RAM의 차이에 대해서 알고, 실습으로는 RAM을 설계하는 실습이다. 이전에 배웠던 flipflop을 사용하여, RAM을 설계하고, 추가적으로 배열을 선언하는 방법, 그리고 type casting을 하는 방법들을 배워보고, ..
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 9장 VHDL 설계 툴 사용법 예비
    9장, VHDL 설계툴 사용법 예비보고서 1. 목적 가. VHDL의 설계 툴 중 하나인 Xilinx VHDL의 설치법을 익힌다. 나. ... ISim(VHDL/Verilog), Preferred Language ? VHDL, VHDL Source Analysis Standard ? VHDL? ... Xilinx VHDL를 사용한 설계 예 ?
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업