• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 181-200 / 22,428건

  • 파일확장자 [Flowrian] 4-Bit Binary Full Adder (TTL 7483)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7483회로에 대한 문서에는 게이트들로 구성된 조합논리회로도가 제공되지만 본 문서에서는 레지스터 전송 수준(RTL, Register Transfer Leverl)에서 동일한 논리를 구현하도록 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.05.05
  • 파일확장자 VHDL로 구현한 D플립플롭을 이용한 4비트 레지스터
    VHDL을 이용한 클럭입력을 갖는 4비트 레지스터의 설계 입니다. 인터페이스..
    리포트 | 1,000원 | 등록일 2008.12.04
  • 한글파일 비교기 설계 (1bit 비교기, 4bit 비교기), testbench 파일 포함
    비교기 파형 2. 4비트 비교기 VHDL 파일 library ieee; use ieee.std_logic_1164.all; entity fbit_comparator is port( ... ns; a ... 비교기설계 1. 1비트 비교기 VHDL 파일 library ieee; use ieee.std_logic_1164.all; entity onebit_comparator is port
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.14
  • 한글파일 verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    덧셈기 역할 부분 ⅰ) keyin : comboⅠ 키트의 keypad(0~F)를 받아들이고, 받아들인 키를 4bit로 바꾸어 4bit adder가 계산할 수 있도록 도와준다. ... 또한 키를 두 번 입력받기 때문에, 그 키를 시간을 두고 adder4_bcd에 전달 할 수 있도록 한다. ⅱ) adder4_bcd : keyin 모듈에서 4bit로 표현된 두 수를 ... 그리고 comboⅠ 키트 위의 dot matrix led 부분이 14행으로 이루어져 있으므로 4bit로 표현된 ‘정성훈’ 문자열을 14bit로 변환하여 dotmatrix 모듈로 전달한다
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 한글파일 [컴퓨터수학] xLogicCircuits - 1-bit Full Subtractor, Demultiplexor(1 input, 4 output)
    1. 1-bit Full Subtractor Ⅰ. ... Demultiplexor(1 input, 4 output) Ⅰ. Design(설계) 3AND -> Demultiplexor(1 input, 4 output) Ⅱ. ... Design(설계) Half Subtractor -> 1-bit Full Subtractor
    리포트 | 6페이지 | 1,000원 | 등록일 2012.01.27
  • 한글파일 [asic] Asic_4bit adder
    파형 { 4. 검토 및 분석 4bit 짜리 두 수를 더하는 4bit가산기를 full adder 4개를 component문을 이용해서 구현해봤습니다. ... 이론 full adder 4개를 이용하여 만든 4bit full adder로써 각각의 full adder에서 나온 sum값은 내보내고 carry값은 다음 가산기의 carry in값으로 ... 각각의 full adder에서 발생한 carry값을 다음 full adder로 넘겨줘서 생긴 마지막 carry_out은 위의 4bit의 값을 더해서 나오는 올림수입니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2004.08.18
  • 한글파일 4비트 짝수 패리티 생성 보고서
    4bit even parity generator Simulation 1. 목적 - 디지털 시스템은 초당 수천에서 심지어 수백만 비트를 전송하므로 종종 오류를 발생한다. ... 결론 4비트 짝수패리티를 생성하는 회로를 이론값인 Truth table에서 확인을 한후 MAX+plus2에 AHDL 문법에 맞게 수식을 입력한후 Waveform Editor를 통하여 ... 홀수 패리티(odd-parity) 방법은 전체 1의 개수가 홀수(패리티비트 포함) 가 되도록 패리티비트를 정하는 것 외에는 짝수 패리티 방법과 동일하다. 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.09.15
  • 한글파일 vhdl을 이용한 4비트 가감산기 설계(논리회로설계실험)
    PurposeFull Adder 4개를 직렬로 연결하여 4-bit 감가산기를 설계한다. 설계를 통하여 감가산기 입력에 따른 출력 특성을 이해할 수 있다.2. ... 그 후 component 명령어를 사용하여 앞서 설계한 전가산기를 4비트 감가산기 코드에 component 하였다. 4비트 감가산기 설계 이후 testbench 코드를 이용하여 ... Problem Statement4비트 감가산기를 설계하기 위해서 먼저 전가산기를 설계할 수 있어야 한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • 파일확장자 16x2 문자형 LCD 를 FPGA상에서 4-비트 모드로 구동하기 위한 VHDL 코드
    bit mode Rs : out std_Logic; -- command(‘0’)/data(‘1’) select Rw : out std_Logic; -- ... Rst : in std_logic; -- asynchronous reset, active high Tick : in std_logic; -- 250KHz(4us ... busy, active high -- LCD interface signals Dout : inout std_Logic_vector(3 downto 0); -- 4
    리포트 | 9페이지 | 2,000원 | 등록일 2012.02.20
  • 한글파일 [공학]4비트 나눗셈기 설계 레포트
    제 목: 4비트 나눗셈기 1. 설계 사양 ? 입력: 나눠지는 수 = X3 X2 X1 X0 , 나누는 수 = Y3 Y2 Y1 Y0 ? ... 12-(3+3+3+3)=0 3을 4번 빼줌으로써 몫은 4가 되고 나머지는 0이 된다. 3. 나눗셈기의 설계 방식 및 논리식 ? ... 몫의 표현 멀티플렉서를 이용하여 비교기가 동작하였을때는 0001을 비교기가 동작을 하지 않았았을때는 0000을 출력을하여 15개의 결과값을 adder를 통한 합으로 출력한다. 4.
    리포트 | 2페이지 | 2,000원 | 등록일 2007.06.24
  • 파일확장자 예비보고서 // 2의보수와 4비트 가감산기, 플립플롭과 시프트레지스터
    실험목적2의 보수에 대한 이해를 바탕으로 binary 4-bit 가 감산기를 이해한다binary 4-bit 가감산기를 구성하고 동작을 파악한다2. ... 실험이론논리회로에서 음수를 표현하는 세가지 방법 -> 부호절대값/ 1의 보수/ 2의 보수 가장쉽게 생각할 수 있는 방식으로서 msb를 무조건 부호비트로 사용하는 나머지는
    리포트 | 14페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • 파일확장자 [디지탈설계]4bit, 16bit carry look ahead(vhdl)
    ;for all: CLA_4bit use entity work.CLA_4bit(digital_cla);signal carry4, carry8, carry12: std_logic;signal ... ;architecture adder_16 of CLA_16bit iscomponent CLA_4bit is Port ( a : in std_logic_vector(3 downto ... gout : out std_logic; sum : out std_logic_vector(3 downto 0));end component CLA_4bit
    리포트 | 2페이지 | 1,000원 | 등록일 2006.03.06
  • 한글파일 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 10장 4-bit Adder 회로 설계
    아날로그 및 디지털 회로 설계 실습 예비 보고서 실습 10. 4-bit Adder 회로 설계 조 7조 제출일 2016-11-24 학번, 이름 10-1. ... (E) 설계한 회로 중 하나를 선택하여 2Bit 가산기 회로를 설계한다. ... 실습 준비물 부품 Resistor 330Ω 10개 AND gate 74HC08 5개 OR gate 74HC32 5개 Inverter 74HC04 4개 NAND gate 74HC00
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 한글파일 반가산기, 전가산기, 4비트 전가산기, 전가감산기 설계 (자일링스)
    ·시뮬레이션 주 석 입력 값 a, b, cin이 1 일 때, 출력 값 sum은 1, carry가 1로 출력되는 시뮬레이션 화면이다. ※ 4비트 가산기 4비트 가산기는 상호 연결된 4개의 ... = 1 이 출력되는 시뮬레이션 화면이다. ※ 4비트 가감산기 4비트 가감산기는 상호 연결된 4개의 FA(전가산기)가 연결되어있고, c0값이 1이면 레지스터 b가 2의 보수형태로 바뀌어 ... 반가산기는 2개의 입력으로 2개의 출력을 내보내는 회로이고, 전가산기는 3개의 입력과 2개의 출력을 내보내는 회로이다. 4비트 가산기와 가감산기는 전가산기 4개를 묶은회로 형태로 4비트
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.10
  • 한글파일 VHDL - 4 Bit 동기 Modulo-10 Counter, 4 Bit 동기 Modulo-10 Preset Counter, 5 Bit Johnson Counter
    ● 4 Bit 동기 Modulo-10 Counter ENTITY counter10 IS PORT( clk : IN bit; dout : OUT bit_vector (3 DOWNTO ... ; dout : out bit_vector (3 downto 0 )); END COMPONENT; SIGNALclk : bit; SIGNAL dout : bit_vector(3 downto ... downto 0)); END JOHNSONCNT10; ARCHITECTURE JOHNSONCNT10 OF JOHNSONCNT10 IS SIGNAL TMP: std_logic_vector(4
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.04
  • 파일확장자 예비보고서 // 멀티플렉서, 인코더 및 디코더, 2진 4비트 가산기
    1.실험목적MUX/DEMUX와 Encoder/Decoder의 구조와 동작원리를 이해하고 이를 응용하는 능력을 기른다.1)4to1 MUX 와 1to 4DEMUX의 회로를 구성하고 동작을 ... 중에서 하나를 선택하여 출력선에 연결하는 조합회로이다.선택선들의 값에 따라서 특별한 입력선이 선택된다.정상적인 경우 2n개의 입력선과 n개의 선택선으로 구성된다.이때 n선택선들의 비트조합에
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • 한글파일 [디지털공학] 4bit 카운터
    데이터 비트가 총 4비트이므로 0~ 15까지의 count가 가능하며, 15가 넘어갈 경우 0부터 다시 count 한다. { c3c2c1c0 0 0 0 1 0 0 1 0 0 0 1 1 ... 실험 순서 및 결론 이번 실습은 4Bit counter를 Behavioral coding 하는 것이었는데, Behavioral 방식을 써서 게이트를 표시할 필요 없이 간단히 할 수 ... bit{ c3c2c1c0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0
    리포트 | 4페이지 | 1,000원 | 등록일 2003.04.30
  • 파일확장자 결과보고서 // 7.2의보수와 4비트 가감산기 8.플립플롭과 시프트레지스터
    결론 및 고찰이번 실험에서는 저번실험에서 구현했던 3bit 전가산기에서 더 나아가 감산까지 가능하도록 설계하는것이 목적이었다.우리조는 이번실험에서 너무 급하게 진행하느라 가산기를 1bit씩 ... 결과를 확인해 볼 수가 없었다.이론적으로 생각해보았을 때, 감산을 하는 원리는 2진수의 입력을 보수화시켜 가산의 형태로 계산함을 알 수 있었고, 연산하는 두수의 부호가 같고 최상위 2비트
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 파일확장자 진보영일기와 전가산기를 이용한 4-bit 가감산기 설계 제안서 및 설계 결과 보고서
    이 소자는 실제 진보영일기로서 C와 B의 제어입력과 4bit의 입력과 4bit의 출력을 가지게 된다.6. 7483 소자의 선정 - 전가산기인 7483의 실제 소자인 74LS83을 사용한다 ... 이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다. ... Ⅲ 설계의 사전 조사1. 7487의 구조 - 7487은 4bit의 진-보-영-일기로서 입력 B와 C로서 제어가 되며 A의 입력으로 Y의 출력을 내보내는 가감산기 회로이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 한글파일 [공학]실험 6. 4비트 산술논리회로와 시뮬레이션
    FPGA를 이용한 4비트 산술논리 회로의 구현 및 검증 결과 보고서 ; 1. bit 파일과 MCS 파일의 쓰임 및 차이점을 간단히 설명하시오. ... 제작한 4비트 산술논리회로가 정상적인 동작을 하는지 확인하고 4비트 산술논리회로의 동작내용을 작성하시오. ... 블록도를 사용하여 4비트 산술논리회로의 원리를 설명하여라.
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업