• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 121-140 / 22,428건

  • 파워포인트파일 4bit binary up/down counter
    * Digital Design Term Project 4 Bit Binary Up/Down Counter Contents - Structural Description State Diagram ... Self-stopping counter 4-bit binary up/down counter(Fig 6-13) is infinitely circulating counter. ... (Ref 0 Up 1 1 Up * Behavioral Description The 4 bit up/down counter is used to count from 0000 to 1111
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.19
  • 한글파일 4bit Full Adder (4비트 전가산기 구현) Verilog Design
    ★ FA( Full Adder : 전가산기 ) 반가산기는 2진수의 한 자릿수만 계산할 수 있다. n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하는데
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12 | 수정일 2020.09.10
  • 파일확장자 아주대 OOO 교수님 논리회로 과제 4비트 9의 보수 회로
    1. 개요 - 입력에 따른 D3D2D1D0의 진리표를 작성해서 D3D2D1D0를 SOP로 Minimal Sum을 만든다 - 한 자리 십진수이므로 십진수 9까지만 입력이 유효하다고 보고 십진수 10부터 입력을 받으면 출력을 Don't care로 나타낸다. ..
    리포트 | 14페이지 | 5,000원 | 등록일 2015.03.12
  • 한글파일 xilinx를 이용하여 4bitsadder 와 4bits comparator 만들기
    실험결과7 3.1. 4bits adder8 3.2. 4bits comparator10 3.3. 4bits adder dataflow11 4. 결과토의13 5. ... Comparator - 4bits comparator vdhl 코드 - 4bits comparator 의 testbench simulation a : 0100 b: 0100 eq ... Design 8-bit adder using 4-bit adder VHDL 코드 Testbench 코드 simulation 결과 1)functional simulation 2)timing
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.07
  • 한글파일 논리회로설계실험 1bit 비교기, 4bits 비교기
    < 1bit 비교기, 4bits 비교기>1. ... HDL 코드 1) 1bit 비교기 : Behavioral Modeling동작 : 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로.1비트 비교기는 두 입력이 같으면 ‘1’ ... onebit isbeginprocess(a,b)beginif a=b theneq
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 파일확장자 [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    4비트 데이터를 출력 Q0 -> Q1 -> Q2 -> Q3 방향으로 시프트 시킨다. ... - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭 ... CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 로드하는 동작이다.* 입력 S='0' 이면 클럭 CP1의 하강에지에 동기되어 레지스터에 저장된
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • 한글파일 4비트 가감산기 설계 및 타이밍도 확인
    1.두 개의 이진 4비트 수를 더하고 빼는 가감산기 회로를 그리시오. 2.
    리포트 | 3페이지 | 3,000원 | 등록일 2011.05.22
  • 한글파일 4bit carry look ahead adder
    위와 같은 로직다이어그램을, 부울리안 방정식으로 표현하게 되면 아래와 같이 된다.4bit CLA이므로, 0bit부터 3bit까지의 각 비트 마다의 방정식은, -0비트에서, -1비트에서 ... -2비트에서-3비트에서 [2] VHDL 코딩 및 테스트벤치 코딩library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit isPort ( ... 설계4비트 CLA adder의 로직 다이어그램은 아래와 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.06.11
  • 한글파일 4 bit BCD adder.subtraction
    부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;begin ... ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 워드파일 실험2 제04주 Lab02 Post 4 Bit Full Adder
    이어 Lab 3에서 1-bit Full adder의 Symbol 4개를 이용하여 4-bit Ripple carry Full adder를 제작하였다. ... 산출 Carrybit을 포함하여 Input과 더하는 논리회로 ⦁ 4-bit Full adder 1-bit Full adder 4개를 이어 만든 회로이며 A[3:0], B[3:0]의 ... 그리고 IMPACT를 통해 설계한 4-bit Full adder를 HBE Combo Ⅱ-SE에 Program을 주입시켜주었다. > < 이어서, 제작한 4-bit Full adder가
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 워드파일 실험2 제04주 Lab02 Pre 4 Bit Full Adder
    산출 Carrybit을 포함하여 Input과 더하는 논리회로 4-bit Full adder 1-bit Full adder 4개를 이어 만든 회로이며 A[3:0], B[3:0]의 4 ... 실생활에서 쓰이는 모든 것은 기본적으로 32bit, 요즈음에는 더욱더 큰 bit들의 기기가 사용되므로 1-bit 이상에서의 설계 및 제작을 알 수 있는 실험이다. 4-bit Ripple ... 결과값은 S[3:0]으로 표현되는데 Cout이 ‘1’이면 5-bit의 결과가, Cout이 ‘0’ 이면 4-bit의 결과가 출력된다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 워드파일 실험2 제08주 Lab06 Pre 4 Bit Shift Register
    이어서 Lab 2에서 만든 4-bit Shift Register Included Enable의 기본 동작은 4-bit Shift Register와 같다. ... Predata of this Lab 1) Lab 1 of 4-bit Shift Register 2) Lab 2 of 4-bit Shift Register Included One-Shot ... > < 4-bit Shift Register를 Logic circuit에 따라 Behavioral Modeling으로 Verilog Code를 작성하여 설계하고 설계한 4-bit
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 워드파일 실험2 제08주 Lab06 Post 4 Bit Shift Register
    Result of this Labs 1) Measured data and description of Lab 1 (4-bit Shift Register) < 4-bit Shift Register를 ... bit Shift Register Included One Shot Enable) < 4-bit Shift Register를 Logic circuit에 따라 Behavioral Modeling으로 ... and description of Lab 2 (4-bit Shift Register Included One Shot Enable) 3.
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 한글파일 4bit감산기 Verilog구현
    (HW1과 블록도 일치, 단, 입력값 b에는 not게이트가 각 FA마다 연결되어 있음) 입력 출력 블록간 연결 4bit a 4bit b 1bit c_in(1값으로 고정) 4bit s ... wire, s는 4bit wire로 설정하였다. //////////////4bit 감산기 모듈 ///////////////////////////////// module hw2_fa4 ... bit full-adder이므로, 위의 진리표에서 작성한 1bit full-adder를 4개 연결하였다.
    리포트 | 4페이지 | 2,000원 | 등록일 2009.04.21
  • 한글파일 4bit Full adder Verilog구현
    output c_out;//더해진 후 생성되는 carry wire c1,c2,c3; //1bit full-adder을 불러올 것이므로, 각각 비트의 carry를 wire로 정의. ... FA f0(a[0],b[0],c_in,s[0],c1);//각각 비트 연산-FA module 호출. ... 입력 출력 블록간 연결 4bit a 4bit b 1bit c_in 4bit s 1bit c_out FA0에서의 c1(c_out1) FA1에서의 c2(c_out2) FA2에서의 c3(
    리포트 | 4페이지 | 1,500원 | 등록일 2009.04.21
  • 한글파일 [디시설] 4bit Shift Reg & Counter 전북대 vhdl quartus
    ※ 8-bit 과제를 수행함에 있어 어려움이 있어서 4-bit로 과제를 수행하였습니다. ... 가지고 증가하는 것을 볼 수 있다.4. 4-Bit Synchronous CounterTestBench를 만드는데 어려움이 있어 과제 수행에 실패하였습니다. ... 추후 더 공부한 뒤 8-bit로 과제를 다시 해보도록 하겠습니다. 1. 4-bit Universal Shift Register - Structurals0과 s1에 의하여 입력 값이
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 한글파일 VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    4bit 가산기를 이용한 BCD adder 소스 분석 우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 ... 그리고, 첫 번째 자리의 BCD값은 4bit 가산기를 이용하여 6을 더한 값을 넣게 됩니다. 9가 넘는숫자라면 6을 더하게 되면 4bit가산기에서는 최대 표현가능 숫자가 15까지 이기 ... 발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다. 4bit가산기를 이용하지 않는 BCD 가산기 소스 분석 4bit가산기를 이용하지 않고
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 한글파일 74LS93 4-비트 비동기 10진 카운터
    74LS93 4-비트 비동기 10진 카운터 1. ... 4-비트 비동기 10진 카운터의 동작원리를 이해한다. 3. ... 실험 목적 ① 74LS93 4-비트 비동기 10진 카운터를 직접 구성 해본다. ② 74LS93 4-비트 비동기 10진 카운터의 카운터 계수 동작표와 타이밍도를 작성해본다. ③ 74LS93
    리포트 | 3페이지 | 1,000원 | 등록일 2013.04.21
  • 파일확장자 [Flowrian] Dual 4 Bit Decade Counter (TTL 7469)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7469 회로는 독립적으로 동작할 수 있는 16진 카운터가 2개 구현되어 있다. 하나의 16진 카운터는 2진 카운터와 8진 카운터로 나누어져 있어 따로 동작시킬 수 있다.- TTL 7469 회로에는 2진 카운터, 8진 카운터, 그리고 16진 카운터로 구성된다..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업