• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 141-160 / 22,428건

  • 한글파일 4비트 덧셈기
    1. 4비트 덧셈기 회로도 2. 재료 목록 pin pin hole 3. ... 가산하여 CARRY가 발생하면 14번 핀으로 보내져 생략되고 각 비트에서 각각 가산된 ∑4∑3∑2∑1값은 출력되어 7447 IC로 보내진다.( A4A3A2A1 + B4B3B2B1 CARRY ... 펄 스 수 7483 입력 7483 출 력 FND 표시 A 입력 B 입력 A4 A3 A2 A1 B4 B3 B2 B1 ∑4 ∑3 ∑2 ∑1 0 1 1 1 1 0 0 0 0 1 1 1 1
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.24
  • 파일확장자 [Flowrian] Dual 4 Bit Decade Counter (TTL 7469)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7469 회로는 독립적으로 동작할 수 있는 16진 카운터가 2개 구현되어 있다. 하나의 16진 카운터는 2진 카운터와 8진 카운터로 나누어져 있어 따로 동작시킬 수 있다.- TTL 7469 회로에는 2진 카운터, 8진 카운터, 그리고 16진 카운터로 구성된다..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.06
  • 한글파일 4bit up/down counter
    rising edge trigger로 동작하고, 4bit counter는 이 출력을 입력으로 받는다. ... 4bit up/down counter [회로도] [시뮬레이션 결과] [결과 분석] 처음 MUX에서의 출력은 S신호가 0⇒B, 1⇒A가 출력되고, 그 출력을 입력으로 받는 MUX_FF는
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.03
  • 파워포인트파일 4비트 전가산기 감산기 설계
    bit 전가산기 2 개의 4 비트 무부호화 2 진수와 캐리 (carry) 입력을 더하여 4 비트 합과 캐리 출력을 갖는 덧셈기 . ... 1 4bit 전감산기 예제 4 장 . ... 관련 기술 및 이론 2 의 보수를 이용한 4 bit 감산기 감산기는 바로 앞의 낮은 단 위치의 디지트에 빌려준 1 을 고려하면서 두 비트의 뺄셈을 수행하는 조합회로라 할 수 있다 .
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • 파일확장자 병렬로드를 가진 4비트 시프트 레지스터
    = q4; I1_4 = q3; I2_4 = SI2; I3_4 = I3; a = ! ... I1_4, I2_4, I3_4; int a, b, c, d; int q1, q2, q3, q4; int SI1, SI2; int I0, I1, I2, I3; int D1,D2,D3 ... S0 && S1 && I2_4; d = S0 && S1 && I3_4; Y = a || b || c || d; if(Y==a) { D4=a; } else if(Y==b) { D4=b
    리포트 | 1,000원 | 등록일 2009.10.15
  • 파일확장자 2의 보수 연산을 이용한 4비트(bit) 감산기
    감산기 및 감산기 테이블입니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.01.06
  • 한글파일 [A+자료] 논리회로 4비트 가감산기 설계 입니다.
    REPORT ( 4비트 가감산기 설계 ) 4비트 가감산기 1. 목적 FA 4개를 직렬로 연결하여 4-bit 가감산기를 설계한다. ... 설계를 통하여 가감산기 입력에 따른 출력 특성을 이해할 수 있다. 2. 4비트 가감산기 논리회로설계 3. ... VHDL을 이용한 4비트 가감산기 1) 소스 entity fouurbit_lsi is Port ( C0 : in STD_LOGIC; A : in STD_LOGIC_VECTOR(4 downto
    리포트 | 4페이지 | 3,000원 | 등록일 2012.06.17
  • 한글파일 4bit adder, 7segment decoder 디지털회로실험보고서
    실험후기 이번 실험은 4bit adder와 7Segment decoder를 이용한 4bit와 4bit 두 수의 합을 7Segment에 표현 하는 실험이였다. ... Name Date 실험이론 8개의 스위치를 4개씩 한 조를 이루어 (A3A2A1A0/B3B2B1B0) 이 두수의 합을 4bit adder 설계 후 그 합을 7Segment에 표시한다 ... 4bit adder, 7segmet decoder실험보고서 Subject ː디 지 털 회 로 실 험 Prof Major Student No.
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • 파워포인트파일 설계실습 10. 4-bit Adder 회로 설계
    11. 12 설계실습 10. 4-bit Adder 회로 설계 아날로그 및 디지털 회로 1 목 차 1 부울 대수 2 디지털 시스템 3 4-bit Adder (74LS83) 4 설계실습 ... 입력의 논리 레벨에 따라 출력 결정 1 0 Undefined 4 4-bit Adder (74LS83) 4-bit Adder (74LS83) 설계실습 계획 (1) 전가산기(Full Adder ... XOR gate를 이용하여 보다 간소화 9 설계실습 계획 (4) XOR gate를 이용하여 보다 간소화 10 설계실습 계획 (5) 4-bit Adder 회로를 설계 11 감사합니다
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.12
  • 한글파일 VHDL을 활용한 4비트 Ripple Carry Adder(덧셈기) 프로젝트
    선언 port(subtract : in std_logic; --(-) 연산이 가능하도록 설정해주는 입력값 x,y : in std_logic_vector (3 downto 0); --4bit ... (1) 1bit-RCA Truth Table xi yi ci si Cout 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 ... bi) (3) Logic diagram (4) Block diagram (5) Source -- Sogang University electronics engineering -- --
    리포트 | 7페이지 | 1,000원 | 등록일 2012.03.20
  • 한글파일 디지털실험 - 4비트 전감가산기 설계 예비레포트
    4비트 전감가산기 -설계예비- 2조 2008065321 권태영 1. 설계 이론 ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... 논리 연산은 선택단자 S1과 S0의 값에 의해 AND, OR, XOR, 보수 등의 기능을 수행한다. ※ 전가산기, 전감산기(진리표, 논리식, 회로도) - 전가산기 - 전감산기 ※ 4bit-adder
    리포트 | 4페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 디지털실험 - 4비트 전감가산기 설계 결과레포트
    4비트 전감가산기 -설계결과- 2조 2008065321 권태영 1. ... 이들을 토대로 4비트 전가산기 및 전감산기 회로를 구성해 본 후, 각각의 단자 전압을 체크하였더니 약 20mV∼40mV 사이의 값들은 0이 출력되고, 4.3V∼5V 사이의 값들은 1이 ... 전감산기는 바로 앞의 낮은 단 위치의 Digit에 빌려 준 1을 고려하면서 두 bit의 뺄셈을 수행하는 조합회로이다. 이 회로는 3개의 입력과 2개의 출력을 가진다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 4 Bit Ripple Carry Adder 제작 (VHDL)
    ◆ Title : 4 Bit Ripple Carry Adder 제작 ◆ VHDL Code -- ::: micro_01.vhd ::: - component 를 이용하여 하나의 1비트 ... 4비트 짜리 InA, InB. - 아웃풋은 4비트 짜리 Output, 1비트 Overflow ◆ Simulation Waveforms - 5개의 예시. - 1번째 예 : “0000 ... 이번 과제는 4비트 전가산기를 제작하는 것 이었다. 4비트 전가산기를 제작하기 위해, 먼저 1비트 전가산기 하나를 미리 제작해 놓고, component를 이용하여 각 핀을 서로 이어
    리포트 | 4페이지 | 1,000원 | 등록일 2008.12.26
  • 파일확장자 [Flowrian] 4 Bit Binary Counter (TTL 74163) 회로의 Verilog 설계 및 검증
    본 회로는 4 비트 바이너리 카운터 회로 (TTL 74163) 으로서 0에서 15 까지의 이진수를 순차적으로 세는 카운터이다. ... 리셋을 포함하여 모든 신호는 클럭의 상승에지에 동기되어 동작하며, 4 비트 데이터를 병렬로 입력하는 기능, 2개의 카운터 인에이블 단자, 카운터 최대값 15에 도달했음을 알려주는
    리포트 | 6페이지 | 1,000원 | 등록일 2012.08.27
  • 한글파일 4-bit Shift Resister
    4비트 쉬프트 레지스터 입니다..MAX+plus II 로 만들었습니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2006.09.19 | 수정일 2016.05.18
  • 한글파일 [예비]4-bit Adder 회로 설계
    이용 4-bit의 연산을 수행하기 위해서 한 bit당 한 개의 전가산기를 사용하므로 전가산기 4개를 연결해준다. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계하여라. (5) 4-비트 가산기 회로를 위의 전가산기 회로를 이용해 설계하여라. (4)번에서 구성했던 전가신기를 ... 설계실습 10. 4-bit Adder 회로 설계 1. 목적 조합논리회로의 설계 방법을 이해하고, 조합논리 회로의 한 예로 가산기 회로를 설계한다. 2.
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.16
  • 한글파일 Flowrian Tool을 이용한 4bit counter 설계
    여기서 out은 8bit의 출력 단으로 각 counter에서 4개씩을 가져가다 만들었다. 4bit 짜리 counter가 만들어지면 위와 같은 설계를 통해 8bit, 12bit, 16bit등 ... Cascade testing 결과 위를 보면 간단히 파악할 수 있듯이 기본적인 동작은 4bit counter와 동일하다 한 가지 다른 점은 위와 15bit에서 끝나는 것이 아니라 2 ... Out은 4bits 출력 단으로 각각 D-flipflop에서 가져오는 것으로 구현하였다. 그래서 아래와 같은 결과를 갖는 시뮬레이션을 수행 할 수 있었다. 2.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.09.01
  • 파일확장자 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습10-(4-bit Adder 회로 설계)
    (A) 본 설계실습에서 무엇을 하였으며 그 결과는 어떤가? 수치를 포함하여 요약한다. 본 실험은 조합논리회로의 설계방법을 기본으로 조합논리회로를 설계하는 것을 기본으로한다. 결과 값은 0.2%이하의 오차를 기록하며 예상 값과 매우 일치하게 나왔다.(B) 설계실습계획서에..
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.17
  • 파일확장자 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습10-(4-bit Adder 회로 설계)
    실습 목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.이론부 요약부울 대수 : 논리학을 수학적으로 해석하기 위해 고안 되었으며 변수, 상수, 연산자, 기본 공리 및 정리로 구성된다. 0과 1로 표현하며 변수는 일반적으로 A, B,..
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.17
  • 한글파일 verilog code - (combo kit) 4bit 2진 덧셈기를 led로 출력
    그러므로 keymatrix 모듈은 삭제하고 keyin 모듈과 kit_4adder_led모듈, 그리고 tb_kit_4adder_led 테스트벤치를 수정하였다. ▶ 수정된 tb_kit_ ... 4adder_led 모듈 코드 ▶ Gate Simulation 결과 (제대로 동작이 되는지 확인) ... key matrix module을 지운 kit_4adder_led (combo1 kit에 맞춘 code) ▶ 수정된 keyin 모듈 코드 (원래의 코드는 주석으로 처리) ▶ 수정된
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업