• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 2비트 감산기, 4비트 가감산기

*승*
개인인증판매자스토어
최초 등록일
2011.06.22
최종 저작일
2011.04
9페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

소스코드, 시뮬레이션결과, H/W 확인, .UCF파일 생성, 동작확인 사진이
있는 실험보고서입니다.

목차

없음

본문내용

REPORT
<2비트 감산기, 4비트 가감산기>
1. 2비트 감산기
- 209페이지 Source 코드 참고
entity sub2 is
port ( A : in STD_LOGIC_VECTOR (1 downto 0);
B : in STD_LOGIC_VECTOR (1 downto 0);
D : out STD_LOGIC_VECTOR (1 downto 0);
BR : out STD_LOGIC_VECTOR (1 downto 0));
end sub2;
architectiure Behavioral of sub2 is
signal TMP : std_logic_vector(2 downto 0);
begin
TMP <= ("0" & A) - ("0" & B);
-- TMP <= (`0` & A) - (`0` &B); 써도 무방함
-- 2비트 일 때는 무조건 “00”을 붙여줘야 한다.
BR(1) <= TMP(2);
BR(0) <= (not A(0)) and B(0);
D <= TMP(1 downto 0);
end Behavioral;
< 시뮬레이션 결과 >
< H/W 확인 >
A <= "00"; B <="00"; Wait for 100 ns;
-- A(1) <= `B`; A(0) <= `0`; B(1) <= `0`; B(0) <=`0`; 위의 소스와 같은 결과 값 나타냄

참고 자료

없음
*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기 10페이지
    결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산 ... 실습 내용 실습 결과 4비트 가산기 VHDL코드 - 코드 주요 내용 및 동작 ... /감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를
  • 한글파일 VHDL-1-가산기,감산 34페이지
    실습제목: 반감산기 1.t4) AND(inst5) OR(inst6) 0 0 ... 따라서 XOR에 0을 넣으면 가산기, 1을 넣으면 감산기 역할을 하는 회로를 ... 이용하기위해 포트맵으로 지정해준다. -- 반가산기와 마찬가지로 OR 게이트도
  • 한글파일 BCD 가산기 설계 결과보고서 3페이지
    n비트 가산기/감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 ... 설계는 두 입력을 4비트 가산기로 더한 후, 결과를 다시 BCD로 변환하는 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.
  • 한글파일 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트 53페이지
    bit full adder & subtracter 4비트 가/감산기(4 bit ... 설계한 4 bit 가/감산기를 Xilinx에 VHDL code로 만들고 다음 ... 이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.
  • 워드파일 Full adder VHDL 실습보고서(전가산기) 11페이지
    bit Full adder(4비트 전가산기)와 Subtractor(감산기) ... 나타내면 된다. 2) 4bit Full Adder(4비트 전가산기) Full ... 추가적으로, 감산기를 구현하는 상황에서는, 2’s complement(2의
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 2비트 감산기, 4비트 가감산기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업