• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 161-180 / 22,428건

  • 한글파일 설계실습 10. 4-bit Adder 회로 설계 결과
    설계실습 10. 4-bit Adder 회로 설계 1. ... 4.64V 0 0 2.49V 0.17V 4.64V 0 4.64V 0.18V 2.63V 4.63V 4.63V 0 0.18V 2.57V 4.42V 4.42V 4.42V 2.53V 2.55V ... 4.64V 0 0 2.78V 0.17V 4.64V 0 4.64V 0.19V 2.87V 4.63V 4.63V 0 0.18V 2.79V 4.42V 4.42V 4.42V 2.71V 2.77V
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • 한글파일 설계실습 10. 4-bit Adder 회로 설계 예비
    설계실습 10. 4-bit Adder 회로 설계 1. 목 적 조합 논리회로의 설계 방법을 이해하고 조합 논리회로의 한 예로 가산기 회로를 설계한다. 2. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라. (5) 4-bit Adder 회로를 위의 전가산기 회로를 이용해 설계하여라. ... bit binary adder(74LS83) 1개 - LED 10개 - Toggle Switch 15개 - 점퍼선 다수 3.
    리포트 | 3페이지 | 1,500원 | 등록일 2010.11.12
  • 파일확장자 아주대 논리회로 김영진교수님 - 프로젝트(4비트 2의 보수회로)
    ⦁따라서 과제를 해결하기 위해선 다음과 같이 순차적으로 진행해야 된다. 4비트 2의 보수회로에 대한 회로에 대한 진리표를 작성한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2013.03.04
  • 한글파일 [Verilog] 프로세서 구조 4bit Ripple Adder & 4-to-1 Multiplexer (4비트 리플 애더, 4-to-1 멀티플렉서)
    4bit Ripple Adder Input Output A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] C0 S[0] S[1] S[2] S[3] C4 0 0 ... 1 1 0 1 0 0 0 0 1 0 1 Input Output A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] C0 S[0] S[1] S[2] S[3] C4 ... 0 1 1 0 0 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 1 1 0 1 0 1 1 0 1 0 1 1 1 1 1 1 1 0 1 0 1 0 0 1 0 1 4-
    리포트 | 2페이지 | 1,000원 | 등록일 2010.06.12
  • 워드파일 verilog를 이용한 부호있는 4bit 곱셈기(multiplier) 설계 및 분석
    반대로 ACC_M의 마지막 bit가 1이라면 ACC에 4bit adder에서 출력된 결과가 들어가고 ACC_M이 오른쪽으로 shift되게 된다. 4bit ... 0] B; //multiplicand를 받는 4bit register reg [4:0] addout; //4bit adder에서 덧셈 연산 후 나온 결과 reg [3:0] comout ... ; //4bit인 multiplicand input [3:0] mplier; //4bit인 multiplier output [6:0] product; // multiplicand와
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.18
  • 파워포인트파일 마이크로프로세서 4비트 설계(맥스플러스)
    수행한다. 4BIT ALU 이므로 4번의 연산을 수행하고 결과값 또한 4개가 나와 4비트의 연술 및 논리 연산이 가능하다. ... 산술논리연산장치 ALU 설계 (4×1 Multiplexer) 4×1 Multiplexer 심볼 및 시뮬레이션 1 Bit ALU 설계 1 Bit ALU 심볼 및 시뮬레이션 4 Bit ... ALU 회로 4 Bit ALU 심볼 4 Bit ALU 시뮬레이션 {nameOfApplication=Show}
    리포트 | 22페이지 | 3,000원 | 등록일 2008.01.15
  • 파일확장자 RADIX - 4 BOOTH ENCODE wallace tree 20bit multipiler
    2]); booth U03_BOOTH ( mz3, a, b[ 6: 4]); ... wire [19: 0] wa, wb; wire [39: 1] wz; wire [21: 0] mz0, mz1, mz2, mz3, mz4, ... ( mz0, a, {b[0],2`b00} ); booth U01_BOOTH ( mz1, a, b[ 2: 0]); booth U02_BOOTH ( mz2, a, b[ 4:
    리포트 | 7페이지 | 2,500원 | 등록일 2010.08.02
  • 파일확장자 [Flowrian] 4-Bit Magnitude Comparator (TTL 7485) 회로의 Verilog 설계 및 검증
    단지 4비트의 정수 비교에만 사용하지 않고 하단의 비교 결과와 연동하여 더 많은 비트의 정수를 비교할 수 있도록 확장이 가능하도록 설계되었다. ... -본 회로는 2개의 4빈트 정수의 크기를 비교하여 어느 것이 더 큰지 혹은 같은지를 알려주는 비교기 회로이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2012.05.09
  • 한글파일 4bit circular shift register (Xillinx, Verilog, 소스코드, 파형포함)
    output [3:0] dout; reg [3:0] dout; always @(posedge clk or posedge rst) begin if(rst) begin dout ... output [3:0] dout; reg [3:0] dout; always @(posedge clk or posedge rst) begin if(rst) begin dout
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.02
  • 파일확장자 [Flowrian] 4 Bit Binary Up/Down Counter (TTL 74193) 회로의 Verilog 설계 및 검증
    동작사양본 회로는 4비트 이진수를 증가하는 방향으로 혹은 감소하는 방향으로 카운팅하는 카운터회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.09.29
  • 워드파일 블록문이 있는 네스티드 if문 설계, 2X4 디코더 설계, 4비트 단위의 2x1 데이터 셀렉터 설계
    통신회로 및 실습 과제 [5] 블록문이 있는 네스티드 if문 설계, 2X4 디코더 설계, 4비트 단위의 2x1 데이터 셀렉터 설계하기 정보통신공학과 2010160101 윤희진 2013.05.21 ... Synthesize – XST -Verilog Test Fixture -Behavioral Check Syntax – Simulate Behavioral Model -실행결과 < 4비트 ... 소스작성 -Verilog Module -Synthesize – XST -Verilog Test Fixture -실행결과 < if문을 사용한 2X4 디코더 설계> 1.소스 작성 - Verilog
    리포트 | 5페이지 | 3,000원 | 등록일 2014.07.11
  • 파일확장자 [전기.전자] 4Bit 가산기 발표자료(ppt), 구현동영상
    프로젝트 결정과정회로도 결정과정 분.초 단위의 디지털 시계 7-Segment를 이용한 덧셈기 LED를 이용한 4bit 가산기작동원리74LS00 회로와 Switch를 통해서 임의의 클럭을 ... R9(1), R9(2)는 출력을 1001(9) 상태로 한는 프리셋 단자이다. 74LS90의 출력 Qd, Qc, Qb, Qa 는 74LS83의 B4, B3, B2, B1의
    리포트 | 16페이지 | 3,000원 | 등록일 2011.07.17
  • 워드파일 FPGA를 이용한 디지털 시스템 설계(인하대) Booth algorithm, 16bit multiplier (problem 4-21, 4-22 중간고사 코딩) 보고서
    우리가 설계하고자 하는 것은 8bit Booth algorithm multiplier이다. 8비트의 수를 곱하는 것이므로 승수 Mplier와 피승수 Mcand를 8비트로 선언한다. ... FPGA를 이용한 디지털시스템 설계 REPORT Problems 4-21, 4-22설계 Problems 4-21. Booth Algorithm 1 - 1. ... 문제접근방법 Problem 4.21의 Booth algorithm설계는 처음부터 문제에 주어진 예문을 토대로 접근하였다.
    리포트 | 19페이지 | 2,500원 | 등록일 2014.11.27 | 수정일 2015.10.02
  • 한글파일 UP-DOWN COUNTER(4-bit) 설계
    UP-DOWN COUNTER(4-bit) 설계 용어 설명 용어 조건 기능 ud 1 up-counter 0 down-counter ovf 15→0 1 (15에서) udf 0→15 1 ... (ovf, udf도 포함) // Time을 1ns의 단위와 1ps의 정확도로 정의 // 입, 출력연결포트 선언 // 위에서 만든 main module과의 입, 출력포트 연결 // 4초가 ... , 다시 0으로 돌아오면서 ovf가 1을 표시. 2. ud=0으로 바뀌면서 down-counter로 바뀜. 3. 0까지 down되고, 다시 F으로 돌아오면서 udf가 1을 표시. 4.
    리포트 | 5페이지 | 1,500원 | 등록일 2008.09.03
  • 한글파일 carry look ahead 방식의 이해를 통해서 4bit adder의 설계
    CP): 입력 캐리는 입력 비트의 둘 중 어느 하나가 1일 때 전가산기에 의해 전파 됨 CP = PQ 그림1-1 캐리 발생과 전파 조건 그림1-2. 4bit CLA Adder의 논리 ... 3:0] s; // s라는 sum을 의미하는 4bit 입력값 설정 output c4; // c4라는 출력값 설정 wire [3:0] p,g; // p, g라는 4bit wire 설정 ... 의 수 X, Y지정 output [3:0] S; // 4비트의 수 S라는 출력값 지정 wire [3:0] temp, Y; // 4비트의 temp, Y의 wire 지정 fulladd
    리포트 | 9페이지 | 5,000원 | 등록일 2011.06.08 | 수정일 2015.06.21
  • 한글파일 MY CAD TOOL을 이용한 4BIT FULL ADDER 설계
    MUX Layout15 (1) Multiplexer 정의 15 (2) Multiplexer 원리 15 (3) 1 BIT MUX의 설계16 (4) 3 BIT MUX의 설계17 Ⅴ. 4BIT ... 설계 과정20 (1) XOR 의 설계20 (2) AND 설계 21 (3) Carry 연산 설계22 (4) Full-Adder 설계 23 3. 4-bit Full Adder25 Ⅵ. ... MY CAD TOOL을 이용한 4BIT FULL ADDER 설계 Ⅰ. 서 론1 Ⅱ. 반도체의 이해1 1. MOSFET의 일반적 이해1 2. MOSFET의 동작 특성2 3.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.18
  • 파일확장자 디지털 설계과제 4-bit 계산기 구현
    II-1. 프로젝트 개발 목적1. 개발 목적강의시간에 배운 내용을 실제 구현함으로써 학습 효과를 높인다. 반가산기, 전가산기, 전감산기의 구성 및 동작 원리를 이해한다. MAX+plus II의 사용법을 익히고 실제로 구현해 본다.우리사회는 이제 디지털 정보사회로 성숙되..
    리포트 | 28페이지 | 4,000원 | 등록일 2009.04.09 | 수정일 2017.03.31
  • 한글파일 논리회로 - 4 to 16 bits decoder using two 74x138 Truth Table(진리표)
    4to 16 decoder using two 74x138 enable input select input output 1 0 0 0 0 0 X X X X 1 1 1 1 1 1 1 1
    리포트 | 1페이지 | 1,000원 | 등록일 2013.12.21
  • 한글파일 [ALU] 4bit ALU
    B S1 F 4 bit S0 심볼 동작설명 : 입력 A와 B는 각각 4비트로 되어있고, 출력 F도 4비트이다. ... S0 산술연산 0 0 F = A +B 덧셈 0 1 F = A - B 뺄셈 논리연산 1 0 F = A B XOR 1 1 F = A B AND 기능표 ALU 4 bit A 4 bit ... -- 출력포트 F 선언, 자료형 vector(bit 객체의 배열) end alu_4; -- entity 끝 architecture alu of alu_4 is -- architecture
    리포트 | 4페이지 | 1,000원 | 등록일 2003.11.27
  • 한글파일 논리회로실험 - 제 3장 4bit Carry Lookahead Adder를 이용한 가산기 설계 결과 보고서
    따라서 입력을 받아서 순차적으로 계산을 하는게 아니라 한번에 모든 비트를 계산하는 방법을 설계해보도록 한다. 4bit Carry Lookahead Adder를 이용하여 가산기를 설계해 ... is end CLA_4bit_TB; architecture Behave_TB of CLA_4bit_TB is component CLA_4bi ... 세 번째로는 x와 y를 받아서 결과값을 출력하는 4bit CLA이다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업