• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23,931)
  • 리포트(21,728)
  • 시험자료(1,147)
  • 방송통신대(449)
  • 자기소개서(317)
  • 논문(179)
  • ppt테마(53)
  • 서식(42)
  • 이력서(7)
  • 노하우(7)
  • 전문자료(1)
  • 기업보고서(1)

"3bit" 검색결과 201-220 / 23,931건

  • 한글파일 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    최종적으로 n비트 내에서 표현할 수 있는 수는 -(2^(n-1)) ~ 2^(n-1) - 1 이다. 4비트 내에서는 다음과 같이 ? ... 8 ~ 7의 정수를 4비트로 표현하는 방식을 조사하시오. 4비트 안에서 양수와 음수를 표현하는 방법은 2진 보수 방식을 사용하는데, 정확히 말하면 2의 보수라는 것은 원래의 수를 reflect ... 그렇기에 양수인 8은 4비트 내에서는 표현할 수가 없는데, 왜 굳이 ?
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • 파일확장자 Experimental analysis and optimization on machining of coated carbon fiber and nanoclay reinforced aluminum hybrid composites
    Step drill bit and multifaceted drill bit are used by adopting central composite design (CCD) in response ... on novel aluminum composite with hybrid reinforcements of copper-coated 4% carbon fibers (CFs) and 3% ... maximize the MRR and minimize the resultant cutting forces considering the constant surface roughness of 3
    논문 | 19페이지 | 5,400원 | 등록일 2023.05.08
  • 파일확장자 블랜칭 처리가 비트의 품질특성과 항산화 활성에 미치는 영향
    Beetroots were blanched in distilled water, 2% NaCl water, and 2% citric acid water at 100°C for 3 minutes
    논문 | 8페이지 | 4,000원 | 등록일 2024.01.29
  • 한글파일 VHDL-1-가산기,감산기
    (5), fcarry => u5_carry ); u6 : fulladder_hdl port map ( fa => add_a(6), fb => add_b 하고, 입력 M을 가산기의 비트0의 ... in std_logic; add_sum : out std_logic_vector(4 downto 0) ); end adder_substractor_4bit; architecture ... _1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity adder_substractor_4bit
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    실험개요 1) 4비트 곱셈기의 구조와 원리를 이해한다. 2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다 2. 퀴즈 답안지 및 정답 -퀴즈 없음 3. ... 1> A = 0000(0), B = 1111(15) A X B = 00000000(0) 2> A = 1101(13), B = 0111(7) A X B = 01011011(91) 3> ... A = 1101(13), B = 1011(11) A X B = 10001111(143) 3> A = 1011(10), B = 0101(5) A X B = 00110010(50) 실험
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 파일확장자 게임콘텐츠 의상 데이터 보안을 위한 3D 메시 워터마킹 기법
    We used Kirchhoff matrix for the spectral analysis of 3D meshes. (3) we uses the duplication of bit vector ... 스펙트럼 분석은 키르히호프 행렬을 이용하며, 잡음 첨가에 대한 저항을 높이기 위해 워터마크 데이터 비트 벡터를 반복하여 삽입한다. ... 본 논문에서는, 3D 데이터 모델링 및 편집 소프트웨어를 통해 만들어진 3D 게임 콘텐츠 의상 데이터의 저작권을 보호하기 위한 3D 메시 워터마킹 기법을 제안하고, 실제 의류 데이터에
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 성균관대학교 디지털집적회로설계 CAD 네번째 과제
    이는 bit1 ~ bit15까지 P(Propagate) 조건으로 만들어 주는 것이다. ... delay = 671.696ps이 또한, 2-②에서 구한 Linear Carry Adder의 delay보다 짧게 나옴을 확인할 수 있다. 3-①과 ②에서 구한 delay를 비교해보면 ... 이때, A0를 0에서 1로 바꿔주면 다음 비트로 넘어갈 때마다 무조건 carry out이 발생하게 되므로 delay가 가장 긴 worst case라고 판단하였다.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.11.29 | 수정일 2021.07.27
  • 한글파일 제어계측공학과 졸업작품 『휴대폰 블루투스를 이용한 무선조종 휠체어 개발』
    UCSRnB의 UCSZn2 비트와 함께 전송 문자의 데이터 비트 수를 설정 ▷ Bit0 - UCPOLn : USART Clock Polarity ? ... 인터럽트가 처리되기 시작하면 이 비트는 자동으로 0으로 클 리어 ▷ Bit5 - UDREn : USARTn Data Register Empty ? ... = 1 : Free Running 모드 ADFR = 0 : 단일 변환 모드 ▷ Bit4 - ADIF : ADC Interrupt Flag ▷ Bit3 - ADIE : ADC Interrupt
    논문 | 38페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 워드파일 블록체인 개념 이해
    연혁 (1) 비트코인(bit + coin) - 비트코인 백서: 2008년 10월 9쪽짜리 논문(사토시 나카모도) . ... 무분별한 마케팅 용어가 됨 PAGE \* MERGEFORMAT3 ... 비트코인 비중 range: 35~55% / 코인별로 네트워크의 크기가 다름 ※ 비트코인은 디지털 금, 이더리움은 디지털 원유(파생산업이 많음) 3.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.05.20
  • 한글파일 2024년 1학기 방송통신대 중간과제물 테스트영어연습)교재 210-228페이지의 Supplementary Materials를 사용하여, 우리 교재의 문제 유형과 동일한 문제를 총 15문제를 만들고, 각 문제마다 상세한 정답 해설을 작성하시오
    3) What vulnerability did Nick Szabo's bit gold proposal face according to the passage? 2. ... In the bit gold proposal which proposed a collectible market based mechanism for inflation control, Nick ... proposals for distributed digital scarcity based cryptocurrencies were Wei Dai’s b-money and Nick Szabo’s bit
    방송통신대 | 10페이지 | 4,000원 | 등록일 2024.03.02 | 수정일 2024.04.04
  • 파일확장자 16비트 마이크로 컴퓨터를 사용한 FFT 연산속도 향상에 관한 연구
    .3). ... 본 연구에서는 널리 사용되고 있는 16비트 마이크로 컴퓨터에서 FFT와 같은 디지털 신호처리를 하기 위해 전용연산장치를 구성하여 NEC PC-9801 E에 연결하였다. ... consisted of LSI chips of four high speed multiplier and adde rstractor, and was interfaced with the 16bit
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 영상처리 분해능 양자화 및 디더링
    Chapter 3 & Chapter 4 1. chapter 3.4 Bit 평면의 Channel 별 Display. ... 입력 영상: buffalo.tif 입력 영상을 c0 ~ c7 까지 bit 평면별로 display 하고 영상을 출력하시오(8개 영상) 소스코드 : c=imread('buffalo.tif ... imresize(imresize(c,1/16),16); 3. chapter 3.6 양자화 및 디더링.
    시험자료 | 3페이지 | 1,500원 | 등록일 2021.05.12
  • 워드파일 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 5장연습문제
    포트 비트 핀 Port A 8bit PA0 ~ PA7 Port B 8bit PB0 ~ PB7 Port C 8bit PC0 ~ PC7 Port D 8bit PD0 ~ PD7 Port ... Atmega128의 I/O포트는 몇 비트인지 쓰고 I/O포트 종류에 대해 설명하시오. ... DDRA = (0b00001111); // PA7~PA4 입력, PA3~PA0 출력 설정 DDRA = 0x0f; DDRA = (0b11110000); // PA7~PA4 출력, PA3
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 파일확장자 디지털논리회로실험(Verilog HDL) - 데이터 오류 정정 및 검출, 블랙잭, 계산기
    .데이터 오류 검출 및 정정◦ Parity Bit데이터에 패리티 비트를 붙여서 1의 전체 개수가 짝수 혹은 홀수가 되도록 한다.-> 짝수 패리티 사용◦ 1bit 오류 검출 및 정정 ... 시연Key0를 누르면 시작Key1을 누르면 sw중 랜덤으로 1비트 에러가 발생Key2을 누르면 에러 정정Sw[15:0] = {01*************1};◦ Parity bit ... – 2bit 검사병렬 parity → 해밍 코드 → 병렬 parity + 해밍코드
    리포트 | 39페이지 | 3,000원 | 등록일 2019.08.29
  • 한글파일 디톡스 3일 주스 플랜(누구나 쉽게 할 수 있는)
    다이어트 디톡스주스 3일 플랜 1일 2일 3일 수분 섭취 되도록 많이 하기 사과/베리/오렌지 주스 바나나 견과류 물 수박 당근 베리 당근 비트 사과 딸기 바나나 진저 사과 레몬 오렌지 ... 위의 플랜은 모두 믹서로 갈아서 주스를 만들어 섭취하여 주세요. 3일이 지난 이후에는 일반식을 섭취하여 주세요.
    서식 | 1페이지 | 1,000원 | 등록일 2021.07.09
  • 파일확장자 파이썬 가위바위보 구현
    from tkinter import * from PIL import Image, ImageTk import random def game_run(user): comp = random.randint(0, 2) # 0: 가위, 1: 바위, 2: 보 user_label['im..
    리포트 | 5,000원 | 등록일 2022.03.28
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    십진수 십의자리를, 오른쪽 4비트는 십진수 일의자리를 나타낸다. cnt_dc는 clk을 분주하여 clk_dc에 저장할 때 사용되는 cnt변수이다. ... sseg는 7seg에 해당하는 변수이다.(5행-9행) 선언부의 signal이 각각 무엇을 의미하는지 설명해보면, clk_dc는 6개의 7segment를 모두 작동시킬는데, 왼쪽 4비트는 ... 반대로 Common Cathode 방식은 모든 LED의 공통단자가 1 1 0 1 1 3.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 파일확장자 차량네트워크(VANET)의 모바일 게임에서 비트맵을 기반으로 한 브로드캐스트 메시지 제어 기법
    중심차량(mc: main car)은 관리그룹 내부에 진입하는 차량에 비트 번호를 부여하여 그룹을 관리하며 비트맵에는 게임메시지 송수신 정보를 포함함으로써 메시지 수신 여부를 빠르게 ... 이 기법은 차량 그룹을 비트맵으로 관리하여 여러 차량으로부터 브로드캐스트되는 게임 메시지를 제어함으로써 게임 성능을 보장한다. ... 우리는 논문에서 차량 간 통신을 이용한 Ad-hoc 게임에서 브로드캐스트 메시지에 의한 게임속도 저하를 방지하기 위한 비트맵 기반의 메시지 제어 기법(BitBMC:bitmap-based
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 블록체인과 비트코인의 미래 : 비트코인 투자할 때?
    비트코인 시스템을 구성하는 주요 부문으로는 비트코인 클라이언트 소프트웨어, 블록체인, 마이닝, 비트코인 키(주소 포함), 비트코인 전자지갑 및 거래소, 비트코인을 받는 온라인 및 오프라인 가맹점 등이 있다.1.1. 비트코인 클라이언트 : 비트코인 네트워크에 가입해서 비..
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.19 | 수정일 2020.07.25
  • 한글파일 각종 관리소 관리사무소 화일 명판
    2018년 2018년 B3전기실 내 비트(우)열쇠 2018년 2018년 B3전기실 내 비트(우)열쇠 B3전기실 내 비트(우)열쇠 B3전기실 내 비트(우)열쇠 년도 201 제목 7~ ... 내 비트실 열쇠 B3휀룸실 내 비트실 열쇠 B3휀룸실 내 비트실 열쇠 2018년 B3전기실 내 비트(좌)열쇠 2018년 B3전기실 내 비트(좌)열쇠 B3전기실 내 비트(좌)열쇠 2018년 ... 제목 안 전 보 건 교 육 일 지 업체명 년도 2014 ~ 제목 도 시 가 스 요 금 할 인 신 청 서 업체명 년도 2015~ 제목 연 차 수 당 업체명 년도 2015 제목 2~3
    서식 | 18페이지 | 2,000원 | 등록일 2023.07.31
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업