• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로설계실험 스탑와치(stopwatch) 레포트

아샷샷추
개인인증판매자스토어
최초 등록일
2021.10.09
최종 저작일
2019.03
13페이지/한글파일 한컴오피스
가격 7,000원 할인쿠폰받기
다운로드
장바구니

소개글

논리회로설계실습에서 A+를 받은 보고서입니다.
보고서를 꼼꼼히 쓰는 것을 좋아하기에, 정말 자세히 적었습니다.
빠짐없는 완벽한 보고서라고 자부합니다.

목차

1. 설계 배경 및 목표
1) 설계 배경
2) 설계 목표

2. 관련 기술 및 이론
1) FSM
2) 클록 분주설정
3) 채터링과 채터링 방지방법
4) 7segment 표시방법

3. 설계 내용과 방법
1) 설계 내용
2) 설계 방법

4. 설계 결과 및 결과 분석
1) 소스 코드
2) PLANAHEAD를 통해 핀 할당
3) BIT파일을 넣어서 프로그램하기
4) 실험결과

5. 토의

본문내용

1. 설계 배경 및 목표
1) 설계 배경
지난 설계과제를 통해 BCD가산기를 만들었고, 7segment를 통해 숫자를 표기하는 방법에 대하여 배울 수 있었다. 또한 이후의 실습을 통해 클록 분주기와 디바운싱 코드를 FPGA와 컴퓨터를 연결하여 소스코드를 직접 작동시켜 보았다. 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.

2) 설계 목표
VHDL을 이용하여 스탑워치를 만든다. 클록 분주기를 이용하여 실제 분, 초, 1/100초에 가깝게 클록이 분주되도록 설계하고, 7segment를 이용하여 시간을 표기한다. 또한 디바운싱 코드를 이용하여 채터링 현상을 방지해 정지, 리셋 스위치가 안정적으로 동작하도록 설계한다.

2. 관련 기술 및 이론
1) FSM
FSM은 Finite State Machine의 약자로 순차적으로 유한개의 상태를 상태천이하는 장치이다. 즉 과거의 상태/신호들을 저장하는 메모리 용량이 유한개인 장치들을 가리키는 일반적인 용어이다. next state logic에 해당하는 조합회로는 현재의 출력은 현재의 입력에 관하여만 영향을 미친다는 특징을 가지고 있다. 순차회로는 과거의 입력이 계속 현재의 출력에 영향을 미치는 것으로 위의 그림을 뜻한다고 할 수 있다. 즉 순차회로는 조합회로와 메모리를 합친 것이다.

참고 자료

없음
아샷샷추
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
논리회로설계실험 스탑와치(stopwatch) 레포트
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업