• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 61-80 / 832건

  • 파일확장자 디지털 논리실험 8주차 예비보고서
    D Flip-flopD Latch와 같이 D의 값을 Q의 값으로 전달해주지만 EN의 값 이 들어와 있는 내내 Q 값을 바꿀 수 있는 Latch와 달리 Flip-flop은 clock ... 이때 D의 입력 값이 0일 때에는 Q=0, =1이 되고, D의 입력 값이 1일 때에는 Q=1,  =0이 된다. 1.2 D Flip-flop의 동작에 대해 설명하시오. ... S-R Latch와 거의 유사하지만 EN이라는 가드를 세워 S와 R의 값이 1,1 이 되는 경우를 막는다는 점에서 차이가 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 한글파일 [A+] 중앙대학교 마이크로프로세서 응용회로 설계실습 결과보고서 4주차
    교재 30page의 LED 회로를 보면 led는 16-bit edge triggered D Flip-Flop에, D Flip-FlopData Bus의 15~0번 bit에 연결되어 ... 또, D Flip-Flop의 LE단자는 Demultiplexer에, Demultiplexer에는 Address Bus의 22~20번 bit에 연결되어 있음을 알 수 있다.
    리포트 | 2페이지 | 2,500원 | 등록일 2024.01.14
  • 파일확장자 디지털집적회로설계 14주차 실습
    초점은 먼저 순차회로의 물리적 배열을 위한 D-flip flop의 설계였다. ... D-flip flop을 구축하는 방법은 다양하지만, 트랜지스터가 가장 효율적으로 쓰이는 전송 게이트 방식을 채택했다. ... •Discussions이번 프로젝트의 핵심은 Ripple Carry Adder에 D-flip flop을 통합해 순차회로를 실현하는 것이었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.11.25 | 수정일 2023.12.10
  • 워드파일 정실, 정보통신기초설계실습2 11주차 결과보고서 인하대
    개인적으로 이번 실험은 D flip flop의 이해를 완전히 다질 수 있는 실험이었다. 2019-2학기 정보통신기초설계실습 페이지 PAGE2 / NUMPAGES2 ... : X C : X D : O Ring counter 회로의 경우 4-bit right shift register회로의 구성에서 4번째 flip flop의 clear를 off시키고 preset을 ... 따라서 1, 2, 3번 flip flop의 clear를 off 시키면 가장 먼저 1로 세팅된 4번 flip flop의 LED(D) 가 점등된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 아날로그 및 디지털 회로 설계 실습 결과보고서11 카운터 설계
    그리고, 실습에 사용한 flip-flop소자는 falling edge triggered 소자이기에 스위치를 1->0으로 변경할 때에 카운팅이 되어 다음 숫자로 넘어가는 것을 확인할 ... 항목) 먼저, 기본적인 회로는 위와 같이 구성하였다. 8진 카운터 설계를 위하여 3개의 flip-flop 소자를 사용하였으며, 상기 회로는 비동기 카운터 이기에, CLK값을 모두 ... 또한, 동기 카운터이기에, 각각의 Flip Flop에 모두 같은 CLK신호가 동시에 입력되도록 회로를 구성하였다.
    리포트 | 11페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 워드파일 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    *SR-, D-, JK- flip-flop *setup time과 hold time에 대해 이해한다. - Registers의 동작원리를 이해한다. - ISE의 simulation 기능에 ... STEP 16: T flip-flop이란, 입력 T=1이라면 CLK의 edge에서 출력이 Toggle되는 flip-flop이다. ... 그 외의 경우는 D latch와 유사하다. - Registers 위의 그림처럼, 공통된 clock을 갖는 flip-flop의 집합을 register라고 한다. register는 여러
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 6주차 예비+결과(코드포함) Sequential_Logic_Design_I Flip-Flop, Register and SIPO
    Flop를 설계할 수 있다.Index Terms D Flip-Flop, Clock Divider, Master slave 1bit JK Flip Flop, cascadeI.INTRODUCTION본 ... 실험은 D Flip Flop을 활용한 1/2 Clock Divider, 1/4 Clock Divider, 1/5 Clock Divider, Master-Slave JK Flip Flop의 ... Fig.1의 D Flip Flop 2개를 Instancing해서 구현하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 한글파일 부산대 어드벤처디자인 실험10 A+ 결과보고서
    실험 10 결과 보고서 실험 결과 및 논의 Master-Slave Flip-Flop은 2개의 Clocked Flip-Flop과 NOT 게이트로 구성됩니다. ... flip-flop을 이용하여 6비트 register를 설계 2) S-R flip-flop을 이용하여 6비트 register를 설계 10.6 (1) SR 래치에서 S 및 R의 파형이 ... Master-Slave SR Flip-Flop을 설계하였습니다. Q와 Q‘에 각각 LED를 연결하여 동작을 확인하였습니다. Q와 Q’는 결과가 반대로 나왔습니다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.04.09
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    ] 차재복, “S-R Latch, SR Latch S-R 래치, SR 래치”, 정보통신기술용어해설 [4] 차재복, “D Flip-flop, Data Flip-flop, Delay Flip-flop ... D 플립플롭”, 정보통신기술용어해설 [5] 차재복, “T Flip-flop, Toggle Flip-flop T 플립플롭”, 정보통신기술용어해설 ... Enable 신호가 Low이면 이전 상태를 유지하고, Enable 신호가 High일 때 SR 래치로 동작한다.[3] 4) D Flip Flop D(데이터), Clk(클럭) 두 입력을
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 [기초회로실험]Flip-flop 회로
    D flip-flop 회로도 진리표입력 출력 CP D Qbar{Q} 0 0 Qbar{Q} 0 1 Qbar{Q} 1 0 0 1 1 1 1 0 D flip-flop은 입력 데이터를 출력에 ... 따라서 우리는 상태 다섯 개의 계수기를 만들고자 할 때 JK f-f을 3개을 이용하여 설계가 가능하다. 7) D flip-flop에서 CP 입력이 있는 경우와 없는 경우의 차이점에 ... 계수기를 구성하는 회로는 flip-flop인데, N개의 flip-flop을 사용하면 N-bit의 계수기를 구성할 수 있다. 2. 실험 이론 및 원리 가.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • 한글파일 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    이번 실험에서는 순서회로인 Latch와 Flip-Flop 중 Gated D Latch와 D FLIP-FLOP, T FLIP-FLOP에 대해 알아보고 이를 응용한 회로를 구현해보았다. ... 변하는 것을 확인할 수 있었다. 4.2.1 실험은 D Flip-flop을 이용하여 T Flip-flop을 구현해보는 실험이었다. ... 사진 2 기본실험 (2) 2) 응용 실험 (1) [그림 3]과 같이 D Flip-flop을 이용하여 T Flip-flop을 구현하시오. 실험을 통해 아래 진 리표를 작성하시오.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 파일확장자 디지털시스템설계실습_HW_WEEK5
    Discussion이번 시간은 cascadable comparator, matrix multiplication, positive-edge triggered d flip flop에 ... triggered d flip flop을 구현하면서 동작원리에 대해 복습하게 되었다. ... 이 모듈을 구현하면서 컴퓨터가 어떻게 곱셈연산을 하는지에 대해 알 수 있었고, 구현할 때 2차원이 이상의 array형태가 사용될 수 없다는 것을 알게 되었다.positive-edge
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 파일확장자 한양대 Verilog HDL 2
    Half Adder과 Full Adder, sequential circuit인 D Flip-Flop과 SR Flip-Flop을 Verilog 로 표현하는 실험이다.Chapter 2.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.03.21
  • 한글파일 부산대학교 기전실 학번 순차회로
    결과는 0 -> 1 -> 2 -> 4 -> 10 -> 7 -> 9입니다. D Flip Flop 설계표를 이용하여 설계합니다. ... D Flip Flop으로 설계> 202124291라는 학번을 가지고 설계를 진행하겠습니다. ... 저희 분반의 경우 D Flip Flop을 사용한 회로와 JK Flip Flop을 사용한 회로 두 종류를 모두 설계하는 것이 예비 보고서였으며, 실험실에서는 둘 중 하나만 구현하는 것이
    리포트 | 9페이지 | 1,500원 | 등록일 2021.07.18
  • 워드파일 서강대학교 21년도 디지털논리회로실험 7주차 결과레포트 (A+자료) - Counter, State Machine, State Diagram
    비동기 counter는 별도의 소자 없이 n개의 flip-flop으로 만든 counter이고, flip-flop들은 clock신호를 공유하지 않는다. ... 설계과제 후미등 제어를 위한 state machine 설계에서 D flip-flopdf ... 일반적으로 000->001->…->111->000과 같이 n-bit 숫자가 증가/감소하는 기능을 수행한다. counter는 여러 개의 flip-flop을 이용해 구성하게 된다.
    리포트 | 28페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 서강대학교 디지털논리회로실험 6주차 결과보고서
    실험목적1) Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다.SR-, D-, JK- flip-flopsSet up time과 hold time에 대해 이해한다.2) Resisters의 ... Latch와 flip-flop은 순차논리회로 설계의 기본이 되는 function block들이다. ... Flip-flop은 한 clock신호에 따라 입력상태가 sample이 되어 출력의 상태를 변화시킨다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서6
    출력은 클럭이 다시 활성화될 때까지 그 값을 유지할 것이다. (2) 플립플롭(Flip-flop) - Flip-flop(이하 F/F)은 두 가지의 안정 상태를 갖는 소자로서 외부로부터 ... 또한, 74HC574를 이용하여 다시 D Flip-Flop회로를 구성한다. 입력 값을 바꿔가며 출력 값을 확인하고 진리표를 작성한다. ... D C Q(t) 0 1 0 1 1 1 x 0 Q(t-1) 실험3) J-K Latch with Enable, J-K Flip Flop (Gate, IC) 실험 과정: 실험 1과 같은
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 [아주대학교 A+] 논리회로 기말고사 족보
    단 state는 Q1Q2이며 다음과 같이 정의한다: S0=00, S1=01, S2=10, S3=11. (3) 동일한 동작을 하는 회로를 D flip-flops 대신에 2개의 J-K ... flip-flops을 이용하여 설계하시오. ... 각 flip-flop의 출력은 위의 회로와 같이 Q1와 Q2로 하여라. 단 최소의 gates를 사용할 것.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • 워드파일 서강대학교 디지털논리회로실험 레포트 6주차
    많이 사용되는 positive-edge-triggered D flip-flop을 보여주며 그림 9는 이의 동작을 보여준다. ... 6주차 결과레포트 Flip-flops and register 1. 실험제목: flip-flops and register 2. ... -SR, D, JK, flip-flops -setup time과 hold time에 대해 이해한다. 2) Registers의 동작원리를 이해한다. 3) ISE의 simulation
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 파일확장자 A+받은 플립플롭 회로(flip-flop, JK, SR) 결과보고서 PSPICE
    이 러한 순서 논리 회로의 기본이 되는 회로가 flip-flop인데 이의 종류에는 RS, JK, D flip-flop이 있다. ... ◎ NOR 게이트 RS flip-flop① 직류전원장치와 디지털 멀티미터의 전원을 켜고 각 기기에 악어클립 케이블을 연결한다. ② 브레드 보드에 그림 3과 같이 2개의 NOR ... 01, 00 순서로 회로를 바꾸어가며 이때 출력 전압을 측정한다. ④ 출력전압의 값이 예상한 결과값과 동일하게 나왔는지 확인하고 오차가 있다면 분석한다. ◎ NAND 게이트RS flip-flop
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업