• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 41-60 / 832건

  • 한글파일 아주대 논리회로실험 실험6 Latch & Flip-Flop 예비보고서
    동작은 유사하지만, 클럭 신호의 변화없 이 언제든지 출력을 바꿀 수 있다는 점에서 Flip-Flop과의 차이가 존재한다. ... Flip-Flop은 Latch와 다르게 클럭 신호를 입력으로 받는데, input 이 들어올 때, 바로 output의 값에 반영되는 게 아닌 클럭 신호의 상태 따라 출력값을 바꾼다. ... (1B) 핀 13(1C) 핀 12(1Y) L L L H L L H H L H L H L H H H H L L H H L H H H H L 하여 귀환 순차 회로로 Latch의 경우 Flip-Flop
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    실험 6) JK Flip-Flop을 이용한 동기 카운터를 설계하고, 오실로스코프를 사용하여 파형을 측정하시오. ? 회로도 ? 이론값 ? ... 실험 5) JK Flip-Flop을 이용한 비동기 카운터를 설계하고, 오실로스코프를 사용하여 파형을 측정하시오. ? 회로도 ? 이론값 ? ... 실험 7) JK Flip-Flop 플립플롭을 이용한 비동기 카운터와 MUX를 설계하고, S1,S0의 입력에 따른 출력파형(Y)을 오실로스코프를 사용하여 측정하시오. ? 회로도 ?
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 3 보고서
    One of the most simple flip-flop is a D flip-flop. ... edge-triggered D flip-flop. ... called a negative edge-triggered D flip-flop.
    리포트 | 18페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 [논리회로실험] Latch & Flip-Flop 예비보고서
    Latch & Flip-Flop 1. 실험목적 1) 여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다. 2. ... 따라 출력이 변함 3) Flip-Flop - 클럭을 입력받는 기억소자 - CLK를 사용하는 동기소자 - 클럭의 주기에 따라 클럭이 올라갈 때 입력에 의해 출력이 결정됨 4) R-S ... 1 1 5) D F/F - R-S F/F이 변형된 형태 - C가 0에서 1이 되는 rising edge에서 D의 입력이 반영됨 - rising edge에서 D=1일 때 입력 값 =
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.04 | 수정일 2021.06.04
  • 한글파일 4주차-실험15 예비 - 플립플롭의 기능
    Flip flop은 Clock신호가 인가된 순간에 입력된 신호로 반영된 출력이 다음 Clock신호가 나타날 때까지 그 상태를 유지합니다. (2) RS flip flop을 JK, D, ... 일반적으로 pulse 지속시간에서 작동하는 flip flop을 latch라하고, pulse transition에서 작동하는 flip flop을 register라 고 합니다. ... flop 회로를 비교 설명하라. ⇒ Latch와 flip flop은 순서논리 회로에 사용되는 기본적인 기억소자입니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.10.02
  • 한글파일 RS-Latch와 D-Latch
    D flip-flop SR 플립플롭의 문제점을 보완한 것으로 DDelay를 의미하는 말이다. 입력을 D 하나만 주고 입력 S와 R이 항상 보수로 되도록 구성한 방법이다. ... RS-Latch 및 D-Latch A. 목적 - RS latch 및 D latch의 동작 및 그 특성을 알아본다. B. ... 이 신호가 존재하는 래치를 게이트-래치(gated latch)이라고 한다. E 신호가 없을 경우는 입력의 상태가 바로 반영된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.25 | 수정일 2021.06.28
  • 워드파일 논리회로설계실험 9주차 counter설계
    flip flop) 위의 schematic은 D flip flop을 이용한 ripple counter의 기본 구조이다. ... 따라서 두번째 D flip flop은 clk이 하강 edge이다. ... 3.3) Ring counter (D flip flop) Ring counter를 D flip flop을 이용하여 structural modeling으로 구현하면 위와 같다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 홍익대 디지털논리실험및설계 8주차 예비보고서 A+
    이 출력값은 D Flip-flop의 입력값 D가 된 다. D Flip-flopD의 입력값을 Q에서 그대로 출력하므로 Q는 1을 출력할 것이다. ... 이 출력값은 D Flip-flop의 입력값 D가 된 다. D Flip-flopD의 입력값을 Q에서 그대로 출력하므로 Q는 다시 0을 출력할 것이다. ... 이때 J-K Flip-flop의 CLK는 Active LOW 이기 때문에 1->0으로 변화하는 순간의 값이 저장된다. 1.7 D Flip-flop이 아닌 J-K Flip-flop으로
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 파일확장자 디지털 논리실험 10주차 예비보고서
    비동기식 카운터는 첫 번째 D Flip-flop의 CP입력에만 CLK 펄스가 입력되고 앞쪽에 있는 D Flip-flop의 출력 값이 뒤쪽에 있는 D Flip-flop의 CLK으로 들어간다 ... 반면 하나의 펄스가 입력되면 모든 J-K Flip-flop이 동시에 작동하는 동기식 카운터와 달리 비동기 식 카운터는 이전 D Flip-flop의 출력이 다음 D Flip-flop을 ... 우선 모든 Flip-flop의  의 출력 값을 D의 입력 값으로 넣어주었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 한글파일 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    PRE’와 CLR’이 Active-LOW이므로 첫 번째 D Flip-flop의 PRE’, CLR’에 0,1을 입력하여 PRE를 활성화시키고 나머지 2,3,4번째 D Flip-flop에는 ... MR’는 D Flip-flop의 clear과 연결되어, 회로를 reset 시킨다. 즉, 강제로 0을 출력하게 한다. ... 1 1 1 0 1 D Flip-flop을 이용하여 결선한 4-bit Serial-in Parallel-out 시프트 레지스터는 CLK가 0->1이 될 때마다 D가 Q0으로 출력되고
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    0 0 0 1 D Flip-flopD의 입력을 Q로 바로 출력하고, 그러므로 Q’는 D의 변환된 값이다. ... edge triggered D Flip-flop은 negative edge triggered D Flip-fl op의 CLK에 NOT 게이트를 결선한 것과 같다고 할 수 있다. ... 위의 비동기식 카운터를 보면 n-1번째 Flip-flop의 출력이 n번째 Flip-flop의 CLK로 입력된다. CLK가 1->0이 될 때 첫 번째 Flip-flop이 작동한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 파일확장자 홍익대학교 디지털논리실험및설계 9주차 예비보고서 A+
    첫 번째 D Flip-flop으로 들어가는 입력 A, B를 AND gate를 거치게 함으로써 A, B 중 하나의 입력은 D Flip-flopData input으로, 나머지 하나의 ... Flip-flop의 (CLR)’ 각각이 하나의 입력 신호 (MR)’로 묶여져 있기 때문에 (MR)’에 Active 입력 신호를 주면 8개의 D Flip-flop이 일괄적으로 Reset ... 하는지 확인해야 하고, 입력과 출력을 몇 번 pin에 연결해야 하는지 확인해야 합니다. 74164 datasheet의 LOGIC DIAGRAM에서 확인할 수 있듯이 직렬연결된 8개 D
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 워드파일 인하대 VLSI 설계 6주차 Flip-Flop
    D를 Q로 출력하고 CLK = 0일 때 Q는 기존의 값을 유지한다. eq \o\ac(○,2) Flip-Flop: edge-selective한 특성을 갖고 있어 positive edge ... 이를 통해 Post-sim과 Pre-sim 모두 Flip-Flop의 동작이 잘 이루어짐을 시뮬레이션을 통해 알 수 있었다. ... [그림 2]는 Master Slave Clocked Flip-Flop을 Transistor level로 나타낸 것이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2023.03.15 | 수정일 2023.03.22
  • 워드파일 논리회로설계실험 7주차 Flip flop 설계
    강의에서 다룬 SR flip flopD flip flop의 modeling 방법을 참고하여 구현하였다. ... 맨 위의 그래프는 CLK의 그래프로 10ns 마다 1->0, 0->1로 변한다. ... 주어진 skeleton code에서 clk는 10ns 마다 1->0, 0->1로 변한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    Q의 파형 (Q는 0으로 초기화되어 있으며, 게이트에서의 전파지연은 없는 것으로 가정) - (2) D latch와 D flip-flop D flip-flop은 RS flip-flop을 ... 사진은 부(negative) egde-triggered D flip flop회로를 나타낸다. 클럭 신호가 1에서 0으로 떨어지는 순간 입력만이 출력에 전달된다. ... 둘 다 순차 논리회로지만 래치는 클락 펄스가 없어 비동기식이고, 플립플롭 은 클락 펄스가 있어 동기식이다. (2) RS flip flop을 JK, D, T flip flop으로 변환
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    고찰 이번 실험은 Verilog HDL을 이용하여 SR Latch, D Flip-Flop, T Flip-Flop을 설계하고 시뮬레이션 결과를 확인하였다. ... SR Latch와 D Flip-Flop의 모듈 코드를 참고해 정해진 파형의 입력을 T Flip-Flop에 입력하였을 때 출력 Q가 작동하는 모습을 확인하는 과정으로 진행하였다. ... 뒤쪽에서도 마찬가지의 결과를 보여주었고, T Flip-Flop의 기능은 정상적으로 작동하는 것을 확인하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 충북대 기초회로실험 플립플롭의 기능 예비
    D flip-flop은 RS flip-flop을 기본구조로 하여 만들어졌다. ... R S Q bar Q 0 0 불 변 0 1 1 0 1 0 0 1 1 1 부 정 (2) D Latch와 D Flip flop D latch와 D flip-flop은 단일입력(D:데이터 ... D flip flop - RS flip flop에서 S 입력을 NOT 게이트를 거쳐서 R 쪽에도 입력되도록 연결한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 파일확장자 한양대 Latches & Flip-Flops
    Latches와 Flip-Flops는 CLOCK의 여부로 구별할 수도 있다.Flip-Flops는 SR FF , D FF , JK FF , T FF로 구분할 수 있다.각각의 Flip-Flops는 ... 실험 목적소자와 Latches를 활용하여 Flip-Flop을 설계해본다. 또한 BreadBoard에 출력되는 결과를 확인해 결과지에 기록한다.Chapter 2. ... 기억 소자란 전원이 공급되고 있는 동안은 현재의 상태를 그대로 유지하는 소자를 뜻한다.하지만, Latches는 불안정한 상태가 있으므로 별도의 회로를 추가해 Flip-Flops를 구성했다
    리포트 | 6페이지 | 2,000원 | 등록일 2023.03.21
  • 한글파일 SR Latch, D Flip Flop, T Flip Flop 결과레포트
    실험 제목 [SR Latch, D Flip Flop, T Flip Flop] 2. 실험 결과 -sr latch -d flip-flop -t flip-flop 3. ... reset으로 상승 edge set이면 Q가 1이되고 reset이면 Q가 0이 된다. d flipflop은 결과값이 d 신호를 따라간다. edge clock에서 d의 상태에 따라 ... 고찰 이번 실험은 vivado을 이용해서 sr latch, d flipflop, t flipflop 의 코드를 짜고 시뮬레이션 결과를 확인하는 실험이었다. sr latch는 set과
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.22
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    - JK Flip-Flop은 SR, D flip flop과 달리 negative edge일 때 출력이 바뀐다. - J와 K가 둘 다 1인 경우에는 출력값을 반전시켜준다. * T Flip-Flop ... 관련 이론 * Flip-Flops -엣지 트리거: 출력은 0에서 1로 바뀌거나(positive edge) 1에서 0으로 바뀔 때(negative edge) 바뀐다. * JK Flip-Flops ... - 순차 회로는 상태를 순서대로 순환시킨다. - 동기식 카운터(Synchronous counter): 여러 개의 플립플롭이 변경되어야 할 때 상태 변화 가 동시에 발생하도록 공통
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업