• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 81-100 / 832건

  • 한글파일 아주대학교 논리회로실험 / 6번 실험 Latch, Flip-Flop 결과보고서
    결과는 성공적으로, 예상했던 것과 같이 D Flip-Flop 회로의 성질을 명확히 확인할 수 있었다. ... 출력 Q = 1 출력 Q = 1 실험 3에서는 D Flip-Flop 회로의 기능과 그 작동을 확인한다. ... 이 D Flip-Flop 회로는 이전에 구성한 2번 실험과 정확히 같은 기능을 수행한다. C는 클럭값이고, S는 입력값, Q는 출력값이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.20
  • 파일확장자 부산대학교 응용전기전자실험1 4장 예비보고서
    가장 왼쪽 jk flip flop 하나가 2진 카운터, 오른쪽 세 개의 flip flop이 5진 카운터 이다.이때 2진 카운터의 출력을 5진 카운터의 clock에 입력하면 결과적으로 ... A/D, D/A 변환기에 대해 설명하시오. -A/D 변환기아날로그 신호를 디지털 신호로 변환시키는 소자이다. ... -D/A 변환기0과 1로 구성된 디지털 신호를 아날로그 신호로 변환시키는 소자이다.위 그림은 D/A 변환기와 A/D 변환기의 기본 구성을 나타내고 있다.
    리포트 | 11페이지 | 1,500원 | 등록일 2022.11.13
  • 파일확장자 (중앙대)마이크로프로세서 응용회로설계실습 LED 결과보고서
    이는 Common Anode 방식으로 diode가 on 되려면, Cathode 단자가 Anode 단자보다 cut-off voltage 보다 큰 전압이 인가되어야하므로 D flip-flop의 ... flip-flop가 연결되어있고 Anode 단자 쪽에는 저항을 거쳐 3V가 인가되어있다. ... ‘그림 25’ 회로도를 참고하여 LED를 ON시키기 위해서 Register에 0을 넣어야하는 이유를 간단히 설명하시오.그림 25의 LED의 연결 방향을 보면 Cathode 단자에 D
    리포트 | 2페이지 | 1,000원 | 등록일 2021.08.13
  • 한글파일 부산대학교 기전실 스톱워치 설계
    D Flip Flop은 CLK의 Edge가 발생할 때 D가 0일 경우 Q가 0이고 D가 1일 경우 Q도 1이 됩니다. ... 각각의 D Flip Flop에는 카운터의 Q _{A} Q _{B} Q _{C} Q _{D}가 D에 입력되고, LAP 스위치 입력이 CLK로 들어가게 됩니다. ... LAP 기능 스위치를 누를 경우 스톱워치에 표시되는 시간을 기록하는 기능입니다. 10초 단위와 초 단위 카운터의 결과값을 D Flip Flop에 저장하는 단순한 구조입니다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • 한글파일 아주대학교 논리회로실험 / 6번 실험 Latch & Flip_Flop 예비보고서
    D Flip-Flop (D 플립플롭) D CP Q(t) 0 1 0 1 1 1 X 0 Q(t-1) D 플립플롭 논리기호 회로도 D 플립플롭 진리표 D 플립플롭은 S-R 플립플롭을 변형한 ... wikipedia, (2020.10.02.), (2020.10.02.), ‘Flip-Flop’, https://en.wikipedia.org/wiki/Flip-Flop ? ... Latch & Flip-Flop 1. 회로 결선도 ※ 이때, 다이오드 출력에는 저항이 연결되어 있음을 가정한다. 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 한글파일 Counter 회로제작 (기초공학실습, KAIST)
    첫 번째 J-K flip-flop의 출력이 두 번째 J-K flip-flop의 입력CK으로 , 두 번째 J-K flip-flop의 출력이 세 번째 J-K flip-flop의 입력CK으로 ... 동기식 counter 회로는 J-K flip-flop의 recursive한 구조를 이용한다. ... J-K flip-flop을 여러 개 이용하면 임의의 길이의 CK pulse를 만들 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 워드파일 [A+] 디지털공학실험 JK 플립 플롭
    (출처 : https://www.researchgate.net/figure/D-flip-flop-using-NAND-gates_fig2_274700783) SR 플립플롭: SR 플립플롭은 ... http://www.usbekits.com/blog--news/74ls76-dual-j-k-flip-flop) 단안정 및 비안정 멀티바이브레이터 Ⅰ. ... 실험 부품 사진 7476 dual J-K (출처: Hyperlink "http://www.usbekits.com/blog--news/74ls76-dual-j-k-flip-flop"
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 한글파일 실험22_flip-flop 회로_결과레포트
    입 력 JK FF 출력 J K Q bar{Q} 0 0 Q bar{Q} 0 1 0 1 1 0 1 0 1 1 bar{Q} Q ⑶ D flip-flop D FF은 입력 신호를 Q출력을 통해 ... 실험이론 ⑴ RS flip-flop Reset과 Set 2입력 단자와 Q와 bar{Q} 2출력 단자로 구성된 순서 논리 회로를 RS flip-flop (이하 FF)라고 한다. ... 입력 신호의 변화가 아니라 CP신호의 변화하는 시점에서 데이터가 전달되며, D신호가 서로 다른 입력으로 2입력을 결정하기 때문에 그 신호가 inverse된 값으로 RS flip-flop
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.15
  • 파일확장자 인하대 vlsi 6주차 플리플롭
    이번 주차에서는 flip-flop을 layout하게된다. 이 flip-flop은 2개의 latch로 만들 수 있다. 두 장치 모두 clk을 입력받는 다는 공통점이 있다. ... 출력에 전달하고, 다음 edge까지 유지한다는 차이점이 있다.위의 사진처럼, 위의 Q값이 Flip-flop의 결과값이고 아래의 Q값이 latch의 결과값으로 나온다. flip-flop은 ... 차이점은 latch는 clk의 신호가 1일 때 지속적으로 입력의 값을 출력으로 전달하고, flip-flop은 clk의 edge일 때, 즉 clk의 신호가 바뀌는 순간의 입력값을 읽어
    리포트 | 10페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2020.07.15
  • 워드파일 기초전자설계및실험 예비보고서 JK Flip-Flop과 클락생성
    -실험전 예비보고서를 준비할 때 D Flip-Flop과 JK Flip-Flop 차이를 확인한다. ... 실험 제목: JK Flip-Flop과 클락생성 조: 이름: 학번: 실험에 관련된 이론 2.1 JK Flip-Flop -JK Flip-Flop은 RS Flip-Flop의 변형된 형태로서 ... J입력은 S입력, K입력은 R입력에 해당되며, RS Flip-Flop에서 금지된 입력인 R=1, S=1 인 상태에서도 동작하도록 개선된 Flip-Flop이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 한글파일 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    시뮬레이션 결과 실험6) JK Flip-Flop을 이용한 동기 카운터를 설계하고, 오실로스코프를 사용하여 파형을 측정하시오. ?회로도 ? ... 디지털회로실험및설계 예비 보고서 #4 ( Multiplexer, DeMultiplexer 실험 / JK Flip-Flop을 이용한 순차회로 실험 ) 과 목 담당교수 제 출 일 학 번 ... 시뮬레이션 결과 실험7) JK Flip-Flop 플립플롭을 이용한 비동기 카운터와 MUX를 설계하고, S1,S0의 입력에 따른 출력 파형(Y)을 오실로스코프를 사용하여 측정하시오.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 워드파일 인하대 전자공학과 VLSI d latch, flip flop magic layout 및 hspice simulation
    이 두 가지 Latch를 연결하면 Flip-flop이 된다. Flip-flop 플리플롭이란 클럭 입력을 가지며 클럭 입력에 반응하여 출력의 상태를 바꾸는 기억 소자이다. ... 여기서는 Master slave flip-flop을 사용한다. ... 다음은 rising edge일 때의 flip-flop동작 과정을 보여준다.
    리포트 | 7페이지 | 2,000원 | 등록일 2019.06.22
  • 한글파일 verilog플리플롭
    #D Flip-Flop SR 플립플롭의 문제점을 보완한 것이 D 플립플롭이다. DDelay를 의미하는 말인다. ... 플립플롭의 중류와 동작원리 #SR Flip-Flop 입력 S와 R에 0이 입력되면 출력 Q와 Q’ 는 변하지 않는다. 즉 값을 기억하는 것이다. ... #T Flip-Flop T 플립플롭의 T는 Toggle의 의미다. 입력 T가 1이 들어올 때마다 출력의 상태가 이전 상태의 보수값이 나온다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.06.14
  • 한글파일 논리회로실험 순차회로 설계
    S는 출력 1을, R은 출력 0으로 되도록 한다는 의미이다. (2) Flip - Flop - 1비트의 정보를 기억할 수 있는 회로로 컴퓨터의 주기억장치 RAM이나 캐시 메모리, 레지스터를 ... 순차회로 Latch, Flip Flop, 레지스터에 대해 알아보아 그것들의 공통점과 차이점에 대해 알았다. JK Flip ? ... JK Flip-Flop을 설계하시오. (1) jk ff JK FF의 특성표Q(t) J K Q(t+1) 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 1 1 0
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 JK flip flops 실험보고서
    또한 제대로 flip-flop의 결과가 나타남을 확인할 수 있었다. ... JK FF의 진리표 (2) multisim_JK flip-flops_IC 7476 gate 2.2. ... 실험 4 : JK flip-flops 1. JK FF 1.1. NOR gate(7402)로 RS latch를 그림 1과 같이 회로를 완성한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.06
  • 워드파일 아주대학교 일반전자공학실험 Digital Dice A+결과보고서
    따라서 7474칩셋을 두 개만 사용해도 D flip-flop 3개를 표현할 수 있다. D flip-flop은 각각이 정보처리를 시간에 따라 달리 해준다. ... 그런데 설계도에는 component(D flip-flop)가 3개가 그려져 있어 혼란스러웠다. 알고보니 7474 칩셋은 한 칩셋 당 D flip-flop이 두 개가 들어 있다. ... 클락을 D flip-flop에 넣어주면 패턴에 맞는 신호들을 낼 수 있게 해준다.
    리포트 | 22페이지 | 2,000원 | 등록일 2022.05.14
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)11. 카운터 설계
    서론 JK Flip Flop을 사용하여 비동기식 카운터 및 동기식 카운터를 만들 수 있다. ... Flop의 CLK 단자에 연결한 후 앞의 과정을 반복하고 (D)의 결과와 다른 것이 무엇인지 확인하여 결과를 제출한다. ... (C) VCC로부터 버튼 스위치를 연결하고 chattering 방지 회로를 추가하여 첫 번째 Flip Flop의 CLK 단자에 연결한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.10
  • 파일확장자 논리회로실험 A+결과보고서 7 -Shift register
    3개의 74HC76칩(J-K Flip-Flops)을 이용하여 구성)2. ... 위의 회로도와 같이 회로를 구성한다.(1개의 2-input NAND gate를 1개의 74HC00칩(2-input NAND gate)을 사용해서 표현하고, 6개의 J-K Flip-Flop을 ... PR1, PR2에 0을 입력하고 다시 1을 입력한다.(1을 입력할 때 D1, D2가 켜진다.)5.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 파일확장자 한양대 Register
    관련 이론D Flip-Flop은 다음과 같다. ... SR FF에 Not gate를 추가한 것으로, (0,1)과 (1,0) 값만 사용하고 싶을 때 input 낭비 없이 사용할 수 있다.D에 0이 입력되면 Q에는 1이 출력되고 Q’에는 ... 반대로, 1이 입력되면 Q에는 0이 출력되고 Q’에는 1이 출력된다.D FF의 timing diagram은 T FF의 timing diagram과 큰 차이가 있다.다른 FF는 모두
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 파일확장자 인하대 vlsi 7주차 setuptime
    Set-up Time 및 Hold Time이상적인 Flip-Flop은 정확한 clk의 edge에서 입력값 D의 값을 출력값 Q로 바꾸어준다. ... 그렇지 않으면 flip-flop의 정상적인 동작을 기대 할 수 없기 때문에 매우 중요하다. 따라서 clk을 무작정 빠르게만 할 수 없다. ... 따라서 clk의 edge이전에 충분한 시간의 D값이 일정하게 유지되어야 하며, 이 값이 안정적으로 Q로 전달되기까지 clk edge 이후에 충분한 시간의 D값 유지가 필요하다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업