• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 21-40 / 832건

  • 한글파일 D Flip-Flop을 이용한 2진 계수 설계
    입력에 따른 회로의 동작(단,clock pulse : 1Hz) - 입력이 0인 경우 Flip-Flop의 출력을 측정하여 도시[첨부1]출력파형 첨부- 입력이 1인 경우 Flip-Flop의 ... 과제명 D 플립-플롭을 사용한 2-비트 2진/그레이코드 카운터 설계2. ... 과제내용입력이 0인 경우 2-비트 2진 계수를 하고, 입력이 1인 경우 2-비트 그레이 코드 계수를 하는 동기식 순차 논리회로를 D 플립-플롭과 NAND_게이트를 사용하여 경제적으로
    리포트 | 8페이지 | 3,500원 | 등록일 2011.12.01
  • 한글파일 Positive edge triggered master-slave D flip flop 설계보고서
    D flip flop 시뮬레이션/결과 a.논리 회로도 Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 ... 설계 제목 - Positive edge triggered master-slave D flip flop ? ... D flip flop의 동작 특성 · flip-flop : clock 신호에 동기되어 한주기 동안 1bit 정보(state)를 저장하는 소자 · Positive edge triggered
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 Positive edge triggered master-slave D flip flop 설계결과보고서
    논리 회로도 및 시뮬결과 Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 작동을 하기 때문에 여기에 reset과 ... master-slave D flip flopDigital 회로도를 구현. ... D flip flop의 동작 특성 · flip-flop : clock 신호에 동기되어 한주기 동안 1bit 정보(state)를 저장하는 소자 · Positive edge triggered
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 [디지털 설계 언어] [쿼터스 / Verilog 언어] Mealy Zero detector / Moore Model Fig 5 19 / D flip-flop
    D flip-flop synchronously resettable and synchronously settable DFF를 코딩하라. ... (reset 우선, 둘 다 active high) ① D flip-flop with synchronous reset and synchronous set 코드 시뮬레이션 결과 코드를 ... (reset 우선, 둘 다 active low) ② D flip-flop with asynchronous reset and asynchronous set 코드 시뮬레이션 결과 코드를
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 한글파일 [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    D flip-flopD flip-flop(posedge clock, negedge reset) 코드 시뮬레이션 결과 코드를 해석해보면 always문에서 clock의 positive ... edge일 때 D값과 reset값을 확인하고 Q값을 갱신하고, reset의 negative edge일 때 Q값을 0으로 갱신하므로 이 D flip-flop은 비동기식 reset Positive-edge ... 확인하고 Q값을 갱신하고, reset의 negative edge일 때 Q값을 0으로 갱신하므로 이 D flip-flop은 비동기식 reset Negaitive-edge triggered
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 한글파일 디지털실험 - Positive edge triggered master-slave D flip flop 설계 결과레포트
    실험 결과를 바탕으로 결과 보고서를 작성한다. ◎ 논리 회로도 Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 ... ◈ Positive edge triggered master-slave D flip flop -설계결과- 2조 2008065321 권태영 1. ... 설계 조건, 목적 및 과정 - Positive edge triggered master-slave D flip flop의 설계 - Clock input, reset, clear 기능을
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 [토끼] Flip-Flop (플립플롭), JK 플립플롭, D플립플롭, T플립플롭의 설계 및 검증
    실험2- D Filp-Flop 실험2) 74LS74를 이용하여 D Flip-Flop을 제작하여 확인하라. < 핸드아웃 Schematic과 ORCAD Schematic > < 피스파이스 ... 기초 전자 공학 실험 및 설계 2 실험날짜: 조 : 조원: 1.Title Flip-Flop 2.Name 구 분 학 번 이 름 역 할 분 담 3.Abstract ... 실험 1 - NAND Gate를 이용한 SR Latch 회로를 직접 제작해 보고 동작을 확인한다 실험 2 - 74LS74를 이용 D Flip-Flop 회로를 직접 제작해 보고 동작을
    리포트 | 48페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • 한글파일 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 15. 플립플롭의 기능
    이론 (1) RS(Reset-Set) Latch와 RS Flip Flop RS Flip-Flop은 2개의 출력단자를 갖고, 두 출력의 상태는 항상 반대이다. ... 목적 (1) 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해한다. (2) D, JK 플립플롭의 동작을 이해한다.2. ... RS Flip-Flop은 RS latch회로로 구성하는데, RS latch에서는 입력단자로 출력을 set, reset시키는 기능의 set, reset 단자와 Enable 단자가 추가된
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.19
  • 워드파일 순차논리회로기초 실험 예비보고서
    래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래지의 논리회로가 간단하다.D 플립플롭D 플립플롭(flip - flop)은 광범위하게 ... 관련이론플립플롭(Flip-flop)과 래치(latch)전자공학에서 1 비트의 정보를 보관. 유지할 수 있는 회로이며 순차 회로의 기본요소이다. ... D는 데이터(data) 또는 delay로 알려져있다. D 플립플롭은 입력 D의 값을 클럭의 엣지(edge)에서 캡처해서 Q에 반영한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • 한글파일 22. Flip-flop 회로 결과보고서
    ※고찰 -이번 실험을 통해서 NOR gate RS flip-flop, NAND gates RS flip-flop, JK flip-flop, D flip-flop 총 4가지의 이론치와 ... D flip-flop회로의 실험치와 이론치 값을 비교해보면 실험치에서 CP=0일 때는 출력값이 변하지 않고 CP=D=5의 값을 입력하여 줄때만 이론치의 표처럼 출력값이 변화함을 확인할 ... flip-flop 입 력 출 력 CP [V] D [V] Q [V] bar{Q} [V] 0 0 0.172 Q 4.396 {bar{Q}} 0 +5 0.172 Q 4.396 {bar{Q}
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.16 | 수정일 2022.05.04
  • 워드파일 디지털시스템설계 hw2
    Swaps_bytes 코드 Swaps_bytes 파형 Twos_adder 코드 Twos_adder tb1 파형 Twos_adder tb2 파형 D flip-flop 소스코드 D flip-flop
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.07
  • 한글파일 실험22 flip-flop 회로 예비레포트
    D flip-flop도 SR flip-flop(NAND 게이트 사용)의 확장으로서 설명할 수 있다. ... 이를 toggle이라고 한다. ⑷ D flip-flop에서 CP 입력이 있는 경우와 없는 경우의 차이점에 대하여 설명하라. ... 종합하여 보면 CP 입력이 있는 경우(CP입력이 1인 경우)는 D 입력이 SR flip-flop의 Set입력 역할을 하고, CP 입력이 없는 경우(CP입력이 0인 경우)는 D입력과
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 6. Flip-flops and Shift Registers 예비 보고서
    실험 목적 1) Flip-flops의 종류와 용도를 알아본다. 2) SR latch, gated D latch의 동작 원리를 이해한다. 3) D flip-flop, JK flip-flop의 ... [그림 9] 6) Master-slave D flip-flop Gated D latch 2개를 이용하여 [그림 10]과 같이 구성한 회로이다. ... Flip-flops and Shift Registers 1.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 전자전기컴퓨터설계실험1(전전설1) (11) 디자인 프로젝트
    output으로 나온 결과가 이러한 것으로 보아 D-flip/flop과 납땜된 소켓이 제대로 결착이 안 되는 상태이거나 D-flip/flop 소자 자체에 문제가 있는 것으로 추정된다 ... [사진 4] Divider by 4 using D-flip/flop(7474) JK-flip/flop(7493)의 경우, 이것을 binary counter로 사용하려면, RO(1)과 ... 이것은 앞서 언급한 바와 같이 dual-D-flip/flop의 pin들이 모두 적절히 연결되었고 두 번째 flip/flop의 output으로 나온 결과가 이러한 것으.)
    리포트 | 16페이지 | 3,000원 | 등록일 2019.10.04 | 수정일 2021.04.29
  • 워드파일 인하대 fpga 4주차 latch, FF, counter보고서
    FPGA 4주차 보고서 Gated D latch D_ Flip-flop RTL MAP test_bench 4bit-up counter RTL MAP test bench 4bit down
    리포트 | 14페이지 | 3,000원 | 등록일 2020.07.07
  • 파일확장자 홍익대학교 디지털논리실험및설계 8주차 예비보고서 A+
    반대의 경우도 마찬가지로 동작합니다.1.2 D Flip-flop의 동작에 대해 설명하시오.EN이 HIGH 일 때 입력 D의 상태가 바로 Latch의 상태였던 Gated D Latch와는 ... 다르게 D Flip-flop의 경우 D 입력은 클록 펄스의 트리거 에지에서만 입력이 출력 Q로 전달되기 때문 에 D가 HIGH인 경우 출력 Q는 클록 펄스의 트리거 에지에서 HIGH ... 1.1 Gated D Latch의 동작에 대해 설명하시오.Gated D Latch의 경우 오직 하나의 입력 (D)과 EN 입력만을 가지고 있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 한글파일 RS-latch, D-latch 실험보고서
    그림 8. 4개의 D latch 5. edge triggered D flip-flop 5.1. ... D FF의 진리표 그림 9. edge triggered D filp-flop의 timing diagram ... D CLK Q 0 0 1 1 1->0 0->1 1->0 0->1 0 0 0 1 표 5.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.06
  • 파일확장자 홍익대학교 집적회로설계 최종프로젝트
    두번째로 D-Flip Flop의 구조를 선택하였다. ... Latch 두개가 연결된 D-Flip Flop과 TSPC DFF 구조를 비교하며 TSPC DFF를 사용한 이유를 밝히고 TSPC DFF의 동작 방식에 대해 설명하였다.
    리포트 | 21페이지 | 4,000원 | 등록일 2023.01.16
  • 워드파일 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    ----------p.3 D Flip/Flop 이란? ... D-Flip Flop의 회로도와 진리표는 다음과 같다. ... D Flip/Flop 이란 지연(delay)형 플립플롭을 의미한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    설계해 보았다. 8-bit Register는 D Flip-Flop 8개를 호출해 입력 d와 출력 q의 각 비트를 Flip-Flop에 각각 따로 연결해주었다. ... 코드 Module 코드 8-bit Register D_FF 하위 모듈 8-bit Shift Register testbench 코드 8-bit Register 8-bit Shift ... 11주차 결과 레포트 - 8-bit Register & 8-bit Shift Register - 과목명 HDL응용설계 담당교수 제출일 전공 학번 이름 Module 코드 및 testbench
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업