• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 141-160 / 832건

  • 한글파일 5주차-실험15 결과 - 플립플롭의 기능
    또, D latch와 D flip-flop과의 차이점은? ... D latch와 D flip-flop의 차이점은 작동하게 하는 신호가 enable (제어신호-level변화)인지 clock인지에 차이가 있습니다. (6) 실험 4에서 PRESET와 ... 비고 및 고찰 이번 실험은 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해하고, D 플립플롭, JK 플립플롭의 동작을 이해하기 위한 실험이었습니다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02
  • 한글파일 A+ 광통신 - 15. Eye Diagram, Dark current, BER
    . ※ Eye Diagram을 보는 이유 시스템이 안정이란, 기본적으로 각부에 있는, Threshold(D Flip Flop)에 에러가 없도록 데이터를 받는 것을 의미한다. ? ... (약 10^-6~10^-10) ... 일반적으로 SNR (Signal-to-Noise Ratio)가 낮으면 낮을수록 BER은 높아진다.
    리포트 | 4페이지 | 1,500원 | 등록일 2024.02.05
  • 한글파일 아주대학교 논리회로실험 / 8번 실험 Counter 에비보고서
    이번 실험에서는 일반적인 Flip-Flop을 이용한 Counter, 그리고 BCD Counter를 사용한다. ... Table A B Y 0 0 0 0 1 0 1 0 0 1 1 1 IC 이름 74HC76 (Dual J-K Flip-Flop with Preset and Clear) 논리 다이어그램 ... 출력 A, B, C, D에는 각각 LED를 연결한다. 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 워드파일 D 래치 및 D 플립-플롭, J-K 플립-플롭 결과레포트
    실험 결과 1) D 래치 및 D 플립-플롭 (1) 입력 조합에 대한 4가지 결과 [D Flip-Flop] INPUT OUTPUT Data 0 1 X 1 0 1 0 X 0 1 1 1 ... D 래치 및 D 플립-플롭, J-K 플립-플롭 결과레포트 1. 실험 제목 1) D 래치 및 D 플립-플롭 2) J-K 플립-플롭 2. ... 평가 및 복습 문제 1) D 래치 및 D 플립-플롭 (2) 래치와 비교할 때 게이티트 D 래치의 장점은 무엇인가?
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09 | 수정일 2022.10.14
  • 워드파일 서울시립대학교 전전설2 6주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Q[3]=Q[4]=1 출력 : Q[0] LED1 ~ Q[4] LED5 Clk : Button SW1 Reset : resteN 버튼, 초기화 10111 application by d-flip-flop ... Post-Lab Report - Title: Lab#06 Sequential Logic 1(Flip-Flop, Register, SIPO, counter) 담당 교수 담당 조교 실 ... 연결 - 실험결과 1 입력 (0000 -> 1000) 1 입력 (1000 -> 1100) 1 입력 (1100 -> 1110) 0 입력 (1110 -> 0111) 0 입력 (0111
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 한글파일 컴퓨터구조(전자계산시 논리회로에서 조합논리회로와 순서논리회로의 차이점)
    순서논리회로에서 회로 동작은 내부의 상태와 입력의 시간 순차에 의하여 결정되고 게이트들과 플립플롭(flip-flop) 같은 기억 회로를 포함하는 n개의 입력과 m개의 출력을 가지는 ... , ISBN 0-07-032482-4 ... D Q(t+1) 0 0 1 1 [표4] D플립플롭 진리표 Ⅲ.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.13
  • 한글파일 [디지털공학개론]JK플립플롭이용 3비트2진 카운터 T플립플롭을 이용하여 3비트 2진 카운터를 설계 과정
    (ㄱ) 개인 블로그, “JK 플립플롭으로 카운터회로 만들기”, http://cieneyes.tistory.com/687 (ㄴ) 개인 블로그, “플립플롭(Flip-Flop) 이란?” ... 2Flogos.mokwon.ac.kr%2Flect%2FDigital_Hanbit%2FChap9.ppt&usg=AFQjCNHNOst97s7H2ti865BJQXrYWAq0rA&bvm=bv.123664746,d.dGo ... . ※ 000[0] -> 001[1] -> 010[2] -> 011[3] -> 100[4] -> 101[5] -> 110[6] -> 111[7] [3Bit 2진 카운터의 상태도] [
    리포트 | 7페이지 | 9,000원 | 등록일 2021.05.07
  • 한글파일 6주차-실험17 예비 - 쉬프트 레지스터
    Flip flop은 clock을 Edge의 형태로 받아들이는 것으로, 시스템을 구현하는 데에 사용되는 것입니 다. ... ABCD 1011이 D->B->C->A 순으로 차례로 쉬프트 된다는 것을 알 수 있습니다. clock A B C D S0 0 1 0 1 1 x 1 1 0 1 1 1 2 1 0 1 1 ... 실험 시작 후 4clock 후에 출력 A에 1이 입력되어 A->B->C->D->A 순으로 1만 순환하게 됩니다. (5) 의 회로를 구성하고, 다음의 과정을 따라 실험하라. 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    실험의 목적 Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... D 플립플롭 - 오직 하나의 데이터 입력을 갖음. - 클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함. D CLK Q 0 0 1 1 (2) 데이터의 저장과 전송 a. ... J K CLK Q 0 0 Q0(이전 출력값) 1 0 1 0 1 0 1 1 Q0’(이전 출력값의 반전) d.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 ring,jhonson counter 예비레포트
    회로가 리셋되면, 플립플롭의 출력 중 하나를 제외하고 나머지 모든 출력이 0으로 된다. n-flip-flop ring counter에서, MOD-n counter 가 있다. ... -수 표현 ‘ : 8h’FB : b-2진수, d-10진수, h-16진수, o-8진수 음수는 2‘complement 사용 언더바(_): 가성을 좋게 한다. ... -4-bit-johnson-counter.html
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 파워포인트파일 쉽게 기억하고 오랜동안 기억하기 (기억력 10만배 증진법)
    소자 Capacitor 기능 충전 , 방전 구분 아날로그 소자 RS Flip-Flop 기능 계산 , 기억 구분 디지털 1 차학 습 休憩 休憩 0 1 0 1 2 차학 습 3 차학 습 ... 가중치 ( weight ) 만 아날로그 임 q : threshold ( 역 치 ) 망각곡선과 반복학습 헬만 / 에빙하우스의 망각곡 선 기억 정착률 망각부분 기억 부분 시 간 콘덴서와 Flip-Flop ... 사랑 → DFF 작 동 → 뇌세포활성 화 → 기억 DFF: Digital Flip Flop ( 뒤에서 상세히 설명 ) 참 고 : 파란 코끼 리 , 기억의 궁전 감각기 억 영상이나 소리를
    시험자료 | 31페이지 | 5,000원 | 등록일 2020.05.29
  • 워드파일 실험3 순차논리회로기초 - 교류및전자회로실험
    관련이론 플립플롭(Flip-flop)과 래치(latch) 전자공학에서 1비트의 정보를 보관, 유지할 수 있는 회로이며 순차 회로의 기본요소 이다. ... 실험순서 소프트웨어를 이용한 D 플립플롭 회로도와 결선도 함수발생기 구형파 입력 프로그램(코드) 작성 (4-5) 예상 결과표 입력(INPUT) 출력(OUTPUT) 예상값 측정값 Clock ... D 플립플롭 D 플립플롭은 광범위하게 사용한다. D는 데이터, 또는 delay로 알려져 있다. D 플립플 롭은 입력 D의 값을 클럭의 엣지에서 캡쳐해서 Q에 반영한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • 워드파일 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    실험목적 Flip-flop, register, SIPO, counter 등 다양한 순차회로를 behavioral modeling, module instantiation 등의 방식을 ... 베릴로그를 통해 계수기를 만들 경우 +, - 연산자를 통해 쉽게 모델링 가능하다. ... 전자전기컴퓨터설계실험Ⅱ 예비리포트 Lab-06 Sequential Logic 1 작성일: 20.10.16 1.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    실험목적 Flip-flop, register, SIPO, counter 등 다양한 순차회로를 behavioral modeling, module instantiation 등의 방식을 ... 베릴로그를 통해 계수기를 만들 경우 +, - 연산자를 통해 쉽게 모델링 가능하다. ... 전자전기컴퓨터설계실험Ⅱ 결과리포트 Lab-06 Sequential Logic 1 작성일: 20.11.01 1.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 [방송통신대학교] 디지털논리회로 출석수업대체과제물
    첫 번째로 회로 설계(circuit design) 단계는 능동소자와 수동소자를 연결시키는 단계로 게이트(Gate)나 단위기억소자인 플립플롭(flip-flop)과 같은 논리소자를 만들기 ... (C+D)) + ABCD → 문자소거, 공식(20), 공식(7) = B(C+D) + ABCD = BC + BD + ABCD = BC + D(B+ABC) = BC + D((B+B)? ... 해당 식을 합의 곱 형태의 카르노도표로 표현하면 yz x x 0 x 0 0 0 0 0 0 0 wx 00 01 11 10 00 01 11 10 (그림 4-2) 무관조건 d(w,x,y,
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • 한글파일 디지털공학개론 ) 1. JK 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 2. T 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 할인자료
    Flip-Flop은 클럭 입력을 가지는 2진 기억소자로서 쌍안정 회로라고 불리기도 한다. 즉 이는 클럭 입력이 존재하는 동기식 순서논리회로의 기본적인 소자이다. ... SR 플립플롭, JK플립플롭, T 플립플롭, D 플립플롭 등이 존재하고, 이중 JK플립플롭이 가장 많이 사용된다. ... [Table. 2] T 플립플롭 관련 블록도와 진리표블록도 진리표 JK플립플롭이 구성 시 블록도 D 플립플롭이 구성 시 블록도 카운터는 들어올 클록 신호의 펄스 개수를 세, 그 값을
    리포트 | 6페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.27
  • 한글파일 [응용 전기전자 실험] D / A 변환기 예비보고서
    4.67 1 1 1 1 -10.01 9.89 5. 7490 카운터 소자에 대해서 조사하고 동작원리를 간단히 설명하시오. * 74LS90은 그림과 같은 JK Flip Flop으로 이루어져있다 ... D3 D2 D1 D0 Y1 Y2 D3 D2 D1 D0 Y1 Y2 0 0 0 0 -29mv -28mv 1 0 0 0 -5.43 5.2 0 0 0 1 -64mv 60mv 1 0 0 1 ... 따라서 000 -> 001 -> 010 -> 100 -> 000 으로 논리회로가 작동하게 되어있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.12
  • 한글파일 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    [그림 24] 7490 회로도 [그림 25] time table 이 카운터는 4개의 master-slave flip flops를 가지고 있습니다. ... 3}D _{2}D _{1}D _{0}Y _{1}Y _{2} 9 1 0 0 0 -2 2 10 1 0 0 1 -2.25 2.25 11 1 0 1 0 -2.5 2.5 12 1 0 1 1 ... 순번 D _{3}D _{2}D _{1}D _{0}Y _{1}Y _{2} 1 0 0 0 0 0 0 2 0 0 0 1 -0.25 0.25 3 0 0 1 0 -0.5 0.5 4 0 0 1
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 한글파일 [부산대 이학전자실험] 8. 555 timer-2
    Bistable Circuit은 1을 의미하는 set와 0을 의미하는 reset이 안정된 두 가지 상태를 유지하는 회로로써 일명 Flip-Flop 회로라고 부르기도 한다. ... 실험 원리 - Astable circuit 그림 1. ... Vtrigger그림 15 Vtrigger-Vout 6.
    리포트 | 10페이지 | 1,000원 | 등록일 2024.01.05
  • 워드파일 영미문학 에세이 [독후감] - 영어(English)
    might not have completed her journey if the cyclist wearing a threadbare shirt and broken strap of flip-flops ... ” Hyperlink "http://thinkexist.com/quotes/mark_twain/" http://thinkexist.com/quotes/mark_twain/. n. d.
    리포트 | 3페이지 | 2,500원 | 등록일 2020.11.23 | 수정일 2020.12.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업