• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 181-200 / 832건

  • 파일확장자 FPGA [ 연산자 & 순차처리문 & 병행처리문]
    01011(a) + 01011(b) = 10110(y_out)가 계산되었고, 01011(11)+01011(11)을 해서 10110(22)값이 제대로 나왔는지 확인하였다.실습과제 [a -
    리포트 | 12페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 워드파일 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    AND/OR Gate의 조합 논리로 이루어진 CPLD와 달리 FPGA는 LUT(Look up table)와 D Flip-Flop으로 이루어진 기본 셀의 집합으로 이루어져있다. ... Combo-2 SE 활용설명서 부록을 참고한 결과 Button SW1을 사용하려면 63번, LED1은 191번에 연결해야 한다. - 4-bit ripple carry full adder의 ... 이렇게 carry가 chain을 일으키며 더해지는 4-bit adder가 4-bit ripple carry full adder이다. 3.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 경북대 생화학2 중간고사 요약정리 및 문제 족보
    지질이중층이 직선으로 연결되어있다가 꺾이며 질서가 흐트러짐 1)플루이드 모자이크 모델: 수평이동(아주 드물게 수직이동(flip-flop모델)하는 경우도 있음) 콜레스테롤이 고등생물 ... formation(6탄당 고리), furanose formation(5탄당 고리): 입체이성질체 L폼, D폼 표현(OH가 위에있냐 α, β 그런식으로) -Glucose는 세포내 존재할 ... , D폼) Diastereoisomer(부분이성질체): 3탄당 이상인 탄수화물에게 일어남 Epimer: 한개의 비대칭 중심에서 배열이 다른 당 * sugar 당은 cyclic form
    시험자료 | 7페이지 | 3,700원 | 등록일 2023.07.03
  • 한글파일 [조선대 메카트로닉스설계 A+] 기말고사 족보 모음(2008~2018)
    out : control bus -> 1 - SELECT : aggress bus -> address decorder -> 1 - D Flip Flop : clock(C) edge의 ... ↑에서 D단자 상태 유지하는 로직회로 - Inverter : 0->1 or 1->0 (3) megnetic pickup을 활용한 각속도를 측정하고 있다. 1/0.75/0.45초 동안 ... ) photo-diode -> 센서 - 가장 기본적인 광센서 - 광에너지를 전기에너지로 변환 - 빛을 받으면 기전력이 발생 (16) hall소자 -> 센서 - 자계의 세기를 전기량(
    시험자료 | 6페이지 | 5,000원 | 등록일 2021.03.14 | 수정일 2023.10.31
  • 한글파일 정보처리기사요약(2.전자계산기구조)
    플립플롭(Flip-Flop) ― 1 비트 기억소자로서 외부의 입력에 따라 신호를 전달해 주는 논리회로. 1) RS 플립플롭 2) JK 플립플롭 S R Qt+1 J K Qt+1 0 0 ... 부호와 1의 보수 -(2n-1-1) ~ 2n-1 부호와 2의 보수 -2n-1~2n-1-1 ② 10진 표현 종 류 특 징 -123 표현 Pack 형식 한 수 표현시 4bit, 부호 비트는 ... -1) ~ 2n-1 ?
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 한글파일 [A+] 현대생활과 패션 총 요약 및 정리
    flop) 등 [굽의 높이에 따른 분류] ① 하이힐(high heels) - 뒤축이 높은 굽을 통틀어서 이야기 한다. ... 셔츠의 길이는 팔을 완전히 내린 상태에서 커프스가 손목으로부터 1cm정도 밑으로 오는 것이 자신과 맞는 길이이다. d. ... bootie) ③ 글래디에이터(gladiator) ④ 펌프스(pumps) ⑤ 토오픈toeopen) ⑥ 샌들(sandal) ⑦ 슬랭백(slingback) ⑧ 뮬(mule) ⑨ 플립플랍(flip
    시험자료 | 97페이지 | 9,900원 | 등록일 2023.07.03 | 수정일 2023.07.05
  • 한글파일 flip flop 레포트
    flop S-R 래치회로와 동일한 논리회로 *edge triggered S-R flip flop : 에지트리거에 의해 동작하는 SR flip flop D Filp Flop *S-R ... 래치 회로에서 S=R=1 인 상태의 동작이 불안하므로 이를 해결하기 위한 회로의 하나로 사용 *D의 상태는 CP에 의해 동기되어 그대로 출력됨 J-K Flip Flop *S-R 래치 ... CP 상태를 다음과 같이 설정하여 입력시키며 출력 상태를 기록하시오. pspice 값 5.실험 결과에 대한 고찰 이번 실험을 통해 순차논리회로 S-R flip flop, D flip
    리포트 | 7페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • 한글파일 조선대/2019/'논리회로' 중간, 기말 족보 및 회로 실습 레포트
    아래 장치는 tri-state logic을 가질 수 있다. ? BUFFERS ? INVERTERS ? FLIP-FLOPS ? REGISTERS ? ... JK플립플롭에 인버터가 들어가면 D-TYPE으로 변경된다. 클록이 하강일때만 상승과 하강을 한다. 실습내용 원인과 분석 set이 0일?? 1을 출력한다. ... D플립플롭, F플립플롭이 사용되는 분야 >> 특정 값에 대해 (토글) ~~~ 기말고사때 무조건 안나오는 파트 1.
    시험자료 | 13페이지 | 5,000원 | 등록일 2019.07.15 | 수정일 2020.07.25
  • 한글파일 고려대 디지털시스템실험 Latch 와 Flip-Flop
    D Flip-Flop 구성 3. JK Flip-Flop 구성 4. ... D Flip-Flop 을 이용하여 Negative-Edge-Triggered JK Flip-Flop 설계 4. ... D(t) Q(t+1) Q(t) Q(t+1) D(t) 0 0 0 0 0 1 1 0 1 1 D Flip-Flop Truth Table 1 0 0 1 1 1 2) JK Flip-Flop
    리포트 | 8페이지 | 1,000원 | 등록일 2018.10.14
  • 한글파일 고려대 디지털시스템실험 (7주차 Sequential Circuit)
    따라서 D FlipFlop , JK FlipFlop을 재구성하였다. 1. D Flip-Flop 2. JK Flip-Flop 3. UP/DOWN Counter 4.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.10.14
  • 한글파일 22장 플립플롭(flip-flop) 회로 예비레포트
    따라서 J = K = 1 인 경우에는 Q값이 0이면 1로, 1이면 0으로 바뀌게 된다. 4) D flip-flop에서 CP 입력이 있는 경우와 없는 경우의 차이점에 대하여 설명하라. ... 예비 보고서 (22. flip-flop 회로) 1) RS flip-flop (NOR Gate 사용)에서 입력 R = S = 1 일 때 출력이 금지 상태가 되는 이유를 고찰하라. ... NOR 게이트를 사용한 RS flip-flop은 위 그림과 같이 구성되는데, 이 때 R과 S에 1을 넣게 되면 NOR의 진리표에 따라 입력 값 둘 중 하나라도 1이면 출력이 0이므로
    리포트 | 2페이지 | 1,000원 | 등록일 2017.10.10
  • 한글파일 Experiment+22 실험 22. Flip-flop 회로
    그러나, JK flip-flopD flip-flop은 예상처럼 쉽게 이루어지지 않아 실험을 2주에 걸쳐 진행해야만 했다. ... 그래서 RS flip-flop은 표의 순서대로 측정함과 달리, JK와 D flip-flop은 가장 위의 칸인 0-0을 두 번째로 측정했으며, 2-3-1-4의 순서로 이루어져있다. ... JK flip-flop에서 J입력은 RS flit-flop의 S입력에 해당되며, K 입력은 R입력에 해당한다. (4) D flip-flop에서 CP 입력이 있는 경우와 없는 경우의
    리포트 | 7페이지 | 1,000원 | 등록일 2017.09.10
  • 한글파일 A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 결과보고서>
    SR Latch를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop을 설계 [그림 2] D Flip-Flop 블록 다이어그램 (입력 : D,CLK,CLR ... 실험목표 ① SR Latch를 설계한다. ② SR Latch를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop을 설계한다. ③ D Flip-Flop을 ... D Flip-Flop을 이용하여 Negative-Edge-Triggered JK Flip-Flop을 설계 [그림 4] JK Flip-Flop 블록 다이어그램 (입력 : J,K,CLK
    리포트 | 11페이지 | 1,500원 | 등록일 2017.07.05
  • 한글파일 디지털실험 - 실험 9. 플립플롭의 기능 예비
    D flip-flop은 RS flip-flop을 기본구조로하여 만들어졌다. latch와 flip-flop은 기본적인 기억소자이다. ... S Q R bar Q R S Q bar Q 0 0 불 변 0 1 1 0 1 0 0 1 1 1 부 정 2) D Latch와 D Flip-flop D latch와 D flip-flop은 ... ▷플립플롭(flip-flop)의 종류 RS형 F/F, JK형 F/F, T형 F/F, D형 F/F 예비보고서 2) RS flip flop을 JK, D, T flip flop으로 변환시켜라
    리포트 | 15페이지 | 1,500원 | 등록일 2017.04.02
  • 워드파일 JK Flip Flop 과 클락 생성
    실험목표 - 동기식과 비동기식 Flip-Flop의 기본개념과 동작원리를 이해할 수 있다. - RS Latch, RS Flip-Flop, D Flip-Flop과 JK Flip-Flop의 ... 수행 여부를 확인한다. - 실험 전 예비보고서를 준비할 때 D Flip-Flop과 JK Flip-Flop 차이를 확인한다. - 실험 전 예비보고서를 준비할 때 NOR와 NAND 게이트를 ... 조합한 동기식 JK Flip-Flop 논리회로를 구성한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 한글파일 [5주차] flip flop
    Flip-Flip은 Latch와 달리 반드시, 클럭의 상승 또는 하강에지에서만 데이터가 변화한다. 2) D Flip-Flop D 플립플롭(Delay flip-flop 또는 Data ... Purpose ▶ Latch와 Flip-Flop의 차이점을 알고, VHDL을 이용해 간단한 Flip-Flop을 설계할 줄 안다. ▶ D Flip-Flop의 간단한 작동원리와 구성요소에 ... 이때, 클럭의 상승에지를 학습하고, 클럭의 상승에지에서 클럭입력의 변화에 따라 D가 Q로 천이하는 논리에 대해 이해하도록 한다. ▶ Flip-Flop을 이용한 Shift Register의
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 flip-flop and counter design(결과)
    Experiment Result (1) Designing and verifying of JK flip-flop and D flip-flop ① JK flip-flop - Waveform ... D Flip-Flop. ? ... Setup / Hold time & Delay time ② D flip-flop - Waveform The D flip-flop is synchronized when the clock
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 VHDL 카운터 설계 및 시뮬레이션
    이론 (1) SR-F/F (Set/Reset-Flip/Flop) (2) Gated SR-F/F (3) D-F/F (4) Master-Slave D-F/F (5) Master-Slave ... 실습목적 기억소자로 사용 중인 Flip-Flop을 구현하는 방법을 공부한다. ... 이론 컴퓨터는 연산 기능을 수행해야 되기 때문에 저장 기능을 지녀야 한다. 1비트의 정보를 저장하는 회로를 플립플롭(Flip-Flop)이라 한다. (1) SR-F/F (Set/Reset-Flip
    리포트 | 18페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2018.08.27
  • 한글파일 플립플롭 실험 예비보고서
    D Flip-Flop R-S 플립플롭 또는 J-K 플립플롭을 변형시킨 것으로 데이터 거쳐 들어가기 때문에, D latch 와 마찬가지로 입력과 출력이 같게 된다. ... JK Flip-Flop JK Flip_Flop은 SR Flip_Flop 에 and 게이트를 2개 붙여서 제작할 수 있다. ... 이를 정리하면 다음과 같은 진리표를 얻을 수 있다. 5.T Flip-Flop T Flip_Flop 은 JK에서 J,K 입력을 일정하게 넣는 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.10.15
  • 워드파일 VLSI 설계 및 프로젝트 실습 (인하대학교 전자공학과) Flip Flop Layout Simulation 결과 보고서
    그 중에 Master-Slave D Flip Flop은 Master-Slave 구조를 갖는 D Flip Flop으로 2개의 D latch로 구성되어 있다. ... Master-Slave D Flip Flop Layout 이번 실험에서는 Master-Slave D Flip Flop만 Layout을 작성하였다. ... 우선 Master-Slave D Flip Flop의 파형을 확인하고, 나머지의 파형을 확인한다. ① Master-Slave D Flip Flop 직접 작성한 NETLIST Master-Slave
    리포트 | 13페이지 | 2,000원 | 등록일 2015.09.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업