• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(563)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 141-160 / 585건

  • 한글파일 NCS 직업기초 기말고사범위 주관식 문제(퀴즈) 풀이
    조해리의 창 이론을 설명하여라. - 조해리의 창 이론이란, 자신과 다른 사람, 2가지 관점을 통해 파악해 보는 자기인식 또는 자기이해의 모델을 나타냅니다. ... 이렇게 압축되어 이동된 데이터들은 에러 제어(Error Control)를 거쳐 디코더(Decoder)로 향한 뒤 수신측으로 전송됩니다. 4. ... 리더의 개인적 자질에 기초한 특성이론의 컴퓨터 바이러스 예방법을 정리하여 보아라. - ① 출처가 불분명한 전자우편의 첨부파일은 백신으로 검사 후 사용합니다. ② 실시간 감시 기능이
    시험자료 | 25페이지 | 2,000원 | 등록일 2020.07.06 | 수정일 2020.07.08
  • 한글파일 [기초회로실험]Flip-flop 회로
    실험 이론 및 원리 가. ... 계수기가 계수한 이진수나 이진화 십진수가 디코더를 통해서 7 세그먼트 발광 다이오드에 표시되는 숫자로 변환하여 인간이 알아볼 수 있는 정보가 된다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • 한글파일 예비보고서(7 가산기)
    관련이론 디코더, 인 코더, 코드 변환기, 멀티플렉서, 디멀티플렉서 및 패리티 생성기/검사기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 멀티플렉서에 대해서 했으며,
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 [예비레포트] 숫자표시기와 7447, 응용
    이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다. 2.관련이론 7-세그먼트 표시기(7-segment ... 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다. ... 이는 인코더나 디코더 IC의 출력이 부논리로 된 경우가 많은 이유이기도 하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • 한글파일 [mahobife]디지털회로실험 비교기, 인코더, 디코더 예비 보고서입니다.
    디코더의 의미와 동작 이해 6. 인코더와 디코더의 응용 능력 배양 Ⅱ. 이론 1. 크기 비교기 가. ... 저항) -> 0 입력은 접지에 꼭 연결해주어야 한다. ② 직류 전원공급장치의 전원을 키고 실험할 회로의 입력을 조절하며 출력의 전압을 측정한다. ③ 출력전압에 따른 논리를 분석하여 이론적인 ... 종류 1) BCD - 10진 디코더 2) BCD - 7 세그먼트 디코더(Cathode 형태) 3) BCD - 7 세그먼트 디코더(Anode 형태) Ⅲ. 준비물 및 실험방법 1.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 한글파일 디지털실험 설계1 예비 7447소자의 등가회로 설계
    이론 1. ... 실험 방법 이론에서 설계한 PLA회로를 구성하고 각 출력을 7세그먼트에 입력하여 입력값 ABCD에 맞게 LED불이 켜지는지 확인한다. ... 문제없이 잘 연결되었다면 각 단자 출력은 아래처럼 이론부분에서 했던 7세그먼트에 필요한 출력대로 나올것이고 각자 2진수에 맞게 LED로 10진수 0부터 9까지 표시될 것이다.입력(BCD
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 디코더, 인코더 설계 예비보고서
    예비 이론 (1) 디코더(Decoder) - 해독기라고도 하며, 인코더의 역동작 회로이다. - N비트로 된 2진 코드는 서로 다른 정보 2n개를 표현할 수 있다. - 디코더는 입력선에 ... 받아서 그 숫자에 해당하는 n비트 2진 정보를 출력한다. ※ 설계 과제 이론 (1) 7 segment - 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 ... 디코더 & 인코더 설계 1. 실험 목표 - 디코더와 인코더의 역동작 관계와 작동 원리를 이해하고, 디코더와 인코더를 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    입력하여 0~9까지의 숫자가 출력되도록 해보았다. orcad 시뮬레이션에서의 출력 A,B,C,D와 이론값(위의 표.1)이 일치하는 것을 볼 수 있었고 이를 토대로 7447 디코더와 ... 회로도 제작 회로 설계 위의 이론과 orcad 시뮬레이션을 토대로 비동기식 counter의 출력A,B,C,D를 디코더를 이용한 7-segment에 입력한 회로도를 짜고 회로를 설계했고 ... 이론 counter 회로 -입력되는 펄스의 수를 세는 장치 -플립플롭을 연속적으로 연결하여 구성 플립플롭의 연결숫자에 의해 count 개수가 결정 counter의 종류 -비동기식
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • 한글파일 실험7. 디코더와 인코더(디지틀 논리회로 실험
    때 D2에 1 출력, {1, 1}을 입력했을 때 D3에 1 출력으로 실험한 결과값이 이론값과 같은 것을 확인할 수 있습니다. ... 1을 입력했을 때 A, B에 {0, 1} 출력, D2에 1을 입력했을 때 A, B에 {1, 0} 출력, D3에 1을 입력했을 때 A, B에 {1, 1} 출력으로 실험한 결과값이 이론값과 ... 실험목적 (1) 디코더와 인코더의 동작 원리를 이해한다. (2) 디코더와 인코더의 특성을 확인하고 부호 변환기의 동작을 살펴본 다. (3) 디코더와 인코더의 구성방법을 익혀 각종 코드를
    리포트 | 6페이지 | 1,000원 | 등록일 2018.10.21
  • 한글파일 디지털실험 5 예비 실험 5. Multiplexer 가산 감산
    이론 멀티플렉서(Multiplexer, MUX) 멀티플렉서, 줄여서 먹스는 N개의 입력 데이터에서 1개의 입력만을 선택하는 소자이다. ... 이론에서 나왔던 회로에서 NAND-NAND2단으로 바꼇다. 위회로의 시뮬 결과이다. 입력이 6개이기 때문에 입력할 수 있는 경우의 수는 64가지나 된다. ... Enable 단자가 있는 2x4 디코더를 1x4 디멀티플렉서로 변환시켜라 Enable이 추가된 디코더 디멀티플렉서 입력 A, B와 Enable. 4개의 출력 디코더와 등가를 이루기
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • 워드파일 verilog 3주차 ENC DEC보고서
    실습 이론① Binary Decoder위 사진은 2*4 decoder를 나타낸다.디코더는 encoding 된 정보를 code 화 되기 전 상태로 되돌려주는 장치를 말한다.디코더는 n
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 한글파일 [예비레포트] 숫자표시기와 응용
    실험 이론 -7-세그먼트 표시기(7-segment display) 숫자표시기는 일곱 개의 방광다이오드(LED, light-emitting diode)로 이루어진 표시창으로 일곱 개의 ... 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다. 이 디코더는 BCD 코드에 맞추어 숫자표시기이 적절한 입력으로 바꾸어 주는 역할을 한다. ... 따라서 디코더-숫자표시기 조합은 BCD 입력으로부터 숫자를 표시하기 위한 기본 회로요소라 할 수 있다. 이를 사용하는 회로의 구성과 사용법을 완벽학 익혀 놓도록 한다. 3.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • 한글파일 디지털실험 4예비 실험 4. 엔코더와 디코더 회로
    A, B값마다 출력이 하나만 1인 것을 볼 수 있다 이것을 진리표로 나타내면 우측과 같고 이 결과는 이론에서 설명했던 디코더와 같다. ... 이론 A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 1.디코더(Decoder) 코드화된 입력을 출력으로 변환하는 ... BCD to 7-Segment 디코더에 대해 설명하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 스톱워치 구현 보고서
    실험이론 1) 비동기식 카운터 비동기식 카운터는 각 플립플롭의 트리거 입력을 앞 단의 출력에 연결하여 앞 단의 출력이 다음 단의 입력으로 들어와 동작하도록 직렬 연결된 매우 간단한 ... 자세한 내부 구조는 그림 (b)와 같다. 5) 디코더 디코더(Decoder)는 디지털 조합 논리회로에서 인코더와 정반대의 동작을 수행한다. ... 또한 디코더를 SN74LS48을 사용했기 때문에 FND도 캐소드 타입을 사용했다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 한글파일 가산기와디코더
    이론 : -컴퓨터나 디지털시스템에서는 가산을 이용하여 감산, 승산, 제산의 연산이 수행되기 때문에 가산은 가장 중요한 선술연산이다. ... 디지털공학 및 실습 평가 가산기와 디코더 회로 2012 . 10 . 12일 1. 실험제목 : 가산기와 디코더 회로 2. ... 이 디코더는 BCD코드를 입력하여 각각의 해당하는 10진수를 7세그먼트 표시장치로 출력하는 4개의 입력과 7개의 출력을 가진 디코더이다. 5.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 파일확장자 아주대 임베디드 시스템 실험1_예비
    . - 제공된 회로를 바탕으로, LED와 Switch의 제어를 통해서 기본적인 I/O 제어 및 동작을 이해한다.2) 실험이론1. ... Micro Processor & Micro Controller Unit- Microprocessor는 초소형 연산처리장치로 컴퓨터의 산술논리 연산기, 레지스터, 프로그램 카운터, 명령 디코더
    리포트 | 6페이지 | 2,000원 | 등록일 2019.01.28
  • 한글파일 인코더와 디코더 예비
    REPORT 예비보고서 1.실험제목 인코더와 디코더 2.실험이론 인코더(encoder)는 “부호기”라고도 불리며, 어떤 입력 값에 대해 대응되는 2진수를 출력하는 회로를 말한다. ... [표 4-2] BCD/10진수 디코더의 부울 함수 3.3 기초 이론에서 제시된 식 (5)에서 (8)을 참고하여 AND 및 OR 게이트로 구성된 10진수/BCD 우선순위 인코더(큰 숫자가 ... 다음 표는 BCD/10진수 디코더의 진리표이고 BCD/10진수 디코더회로는 다음 그림과 같다. 3. DATASHEET -인코더 -디코더 4.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 한글파일 아날로그 디지털 변환기(ADC) 결과보고서
    이론상 INL은 코드별로 완벽하게 보정될 수 있지만 이는 비실용적이기 때문에 INL에 대한 보정을 위해 몇 개의 데이터 포인트만 선택하게 된다. ... 실험회로는 비교기 7개와 저항, 그리고 디코더로 이루어졌는데, 비교기로 들어가는 7개의 전압들은 저항에 의한 전압분배에 따라 달라졌다. ... 그 결과 입력 전압이 1LSB단위로 달라질수록 디코더의 출력에 연결한 LED에 들어오는 불빛이 달라졌는데, 불이 들어온 상태를 1이라 하고, 들어오지 않은 상태를 0이라고 한 뒤 순서대로
    리포트 | 3페이지 | 1,000원 | 등록일 2018.12.15
  • 한글파일 아날로그 - 디지털 변환기 (ADC) 결과레포트
    이론상 INL은 코드별로 완벽하게 보정될 수 있지만 이는 비실용적이기 때문에 INL에 대한 보정을 위해 몇 개의 데이터 포인트만 선택하게 된다. ... 실험회로는 비교기 7개와 저항, 그리고 디코더로 이루어졌는데, 비교기로 들어가는 7개의 전압들은 저항에 의한 전압분배에 따라 달라졌다. ... 그 결과 입력 전압이 1LSB단위로 달라질수록 디코더의 출력에 연결한 LED에 들어오는 불빛이 달라졌는데, 불이 들어온 상태를 1이라 하고, 들어오지 않은 상태를 0이라고 한 뒤 순서대로
    리포트 | 3페이지 | 1,000원 | 등록일 2018.12.15
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    디코더의 ABCD에 Binary형태로 0~9 까지 입력하면, 디코더는 자동으로 0~9를 디스플레이 해줍니다. 연결방법은 사진과 같습니다. 5. ... 관련이론 ● BCD 코드 간단하게 말하면 BCD코드는 10진수를 2진화한 4bit로 나타낸 형태의 코드이다. ... 실험목적 ① BCD code, Seven-segment display에 대한 이론 및 회로 ② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업