• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(563)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 61-80 / 585건

  • 워드파일 전기및디지털회로실험 실험7 예비보고서
    디코더와 인코더 2. 실험 개요 1. 코드의 개념을 이해하고 이진코드와 BCD 코드가 무엇인지 숙지한다. 2. 디코더의 원리와 구성방법을 이해한다. 3. ... 디코더의 작용은 부호기 작용과 반대이다. ... 이론조사 -코드 일정한 규칙에 따라 다른 형태의 정보로 표현할 수 있도록 사전에 약속해 놓은 규칙을 의미하며, 부호(符號)라고도 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • 한글파일 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    실험 이론 1) Decoder 디코더는 부호화된 입력을 부호화된 출력으로 변환하는 다중입력, 다중출력을 하는 논리회로로 일반적으로 입력의 개수는 출력의 개수보다 작다. ... 흔히 입력이 n개, 출력이 m개인 디코더를 nxm 디코더라고 하며, m은 2 ^{n}과 같다. 아래 Truth Table은 2x4 디코더의 입출력을 보여준다. ... 가장 보편적으로 사용하는 디코더는 이진 디코더로 n-bit 입력에 대하여 2 ^{n}개의 출력 중 하나의 출력만 활성화 하고자할 때 사용한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험 이론 (1) 조합 논리 회로 - 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로 - 출력이 입력에 의해 결정됨. - 논리 ... [실습 4] 3X8 디코더를 if와 else if문을 사용하여 디자인 하시오. Source code Testbench e. ... Decoder - N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직 - Encoder의 반대 로직임. (4) 3X8 Decoder - 3개의 입력선과 8개의 출력선을 갖는 디코더
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    참고문헌 [디지털클락]디지털시계이론및자료, https://murcielrago.tistory.com/12 23. ... 따라서 디코더는 10 이상의 숫자를 두 자리 수로 표현하도록 설계되어야 한다. ... 초나 분을 표현하는 6진수 또는 10진수 카운터는 BCD-to-7 세그먼트 디코더 TTL 7447을 사용해 값을 나타낼 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 워드파일 GPT 분석방법
    추론을 측정하는데 정확도가 높을수록 좋은 모델이 됨을 의미한다. - 선태과정에서 선택지가 많아 모델이 혼란스러워하는 정도를 의미한다. - 값이 낮을수록 좋다. 2) BPC - 정보이론의 ... 즉 RNN을 사용하지 앟ㄴ고 인코더-디코더 구조를 설계하였음에도 자연어 처리 분야에서 RNN보다 우수한 성능을 보여주었다. II. 본론 1. ... 기존의 seq2seq의 구조인 인코더-디코더를 따르면서도 논문의 이름처럼 어텐션(attention)만을 이용하여 자연어처리를 할 수 있도록 만든 모델링을 말한다.
    리포트 | 3페이지 | 3,000원 | 등록일 2022.06.30
  • 파일확장자 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 10차예비보고서-7-segment/Decoder 회로 설계
    . : 실습에서는 74LS47 을 Decoder 로 이용하기 때문에 실습교재 이론부 86pg 를 참고하여 진리표를 작성하면 다음과 같다.< 중 략 >앞서 언급했듯이, 74LS47 디코더
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.06
  • 파워포인트파일 기초전자회로실험1 10주차 n-Bit 이진 가산기 예렙
    관련 이론 [ XOR] XOR 게이트는 수리 논리학에서 주어진 2 개의 명제 가운데 1 개만 참일 경우를 판단하는 논리 연산 회로이다 . [ 반가산기 ] 반가산기 회로는 2 진수 덧셈에서 ... 인코더 , 디코더와 7-Segment Display 실험 목표 - 인코더와 디코더의 기능을 이해하고 구성할 수 있다 . ... 관련 이론 http://seven00.tistory.com/entry/Thevenin-%EC%A0%95%EB%A6%AC%EC%99%80-Norton-%EC%A0%95%EB%A6%AC
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 파일확장자 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다. ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    이론 3.1 Combinational circuit design 설계하려는 회로에 대한 논리식은 Boolean Algebra에 의해 최적화할 수 있다. ... 그럼으로써 I2가 1이라면 첫번째 디코더가 ON, I2가 0이라면 두번째 디코더가 OFF로 기능하는 3 to 8 decoder를 구현할 수 있었다. 4) 그림c 그림c는 4-digit ... 또한 디코더는 enable 신호가 존재해, enable이 active일 때 code 변환을 실행하게 된다. 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 7segment decoder 예비
    이론부에 74LS47, MC14511B 두 가지의 디코더가 있지만, 실습 준비물에 74LS47만 있기 때문에 74LS47의 진리표를 알아보자 교재 86p에 74LS47의 진리표가 나와 ... 아래 회로는 common anode형 7-segment를 이용했다. 74LS47 디코더의 출력 bar{a} ,` bar{b} ,` bar{c} ,` bar{d} ,` bar{e} , ... bar{B} ` bar{C} ` bar{D} 3. 7-segment 구동 회로 설계 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. 74LS47 디코더
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 워드파일 기초전자설계및실험 예비보고서 Mod N counter
    실험 제목: Mod N counter 조: 이름: 학번: 실험에 관련된 이론 2.1 동기/비동기 카운터 비동기식 카운터(리플 카운터) - 클록펄스에 모든 플립플롭이 동기화되지 않으며 ... Mod-16의 네 출력을 7447 디코더에 IC칩과 7-Segment Display에 연결하여 그 결과를 확인한다. ... Mod-16 의 네 출력을 7447 디코더에 IC칩과 7-Segment Display에 연결하여 그 결과를 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 한글파일 트랜스포머(자연어처리)
    . - 데이터셋에서 빈간 추론을 측정하는데 정확도가 높을수록 좋은 모델이 됨을 의미한다. - 선택과정에서 선택지가 많아 모델이 혼란스러워하는 정도를 의미한다. 2) BPC - 정보이론의 ... 鉢ㅀ 인코더-디코더 구조를 설계하였음에도 자연어 처리 분야에서 RNN보다 우수한 성능을 보여주었다. II. 본론 1. ... 기존의 seq2seq의 구조인 인코더-디코더를 따르면서도 논문의 이름처럼 어텐션(attention)만을 이용하여 자연어처리를 할 수 있도록 만든 모델링을 말한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2022.05.14
  • 워드파일 광운대학교 전기공학과 1학년 실험8
    ◆관련 이론◆ ☑ 7세그먼트 표시기 숫자표시기는 일곱 개의 발광다이오드로 이루어진 표시창으로 일곱 개의 LED 중 어느 것들이 켜지는냐에 따라 숫자가 나타난다. ... 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다. ... ◆실험 기기◆ 테스터, 직류전원장치(dual), 오실로스코프, 만능기판, 만능기판용 전선, 스트리퍼 IC 바이너리 인코더 74148 1개 BCE 인코더 74147 1개 7세그먼트 디코더
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 한글파일 [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    (1) 실험 목표 BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현 할 수 있다. (2)실험 이론 디지털 신호는 0,1로 이루어져 있고 이를 2진수로 표현한다. ... 원래는 이진수화 된 신호를 LED 디스플레이에 맞게 회로를 구성해야하지만 디코더 칩셋이 역할을 대신 수행한다. 회로 결선 이전에 Datasheet을 유의하며 읽어야한다. ... BCD-to-7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7segment 표시기에 해당 숫자 (0~9)가 표시되도록 7segment의 입력 단자 a, b, .
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계
    이론 과 수식을 요약하여 작성한다. 설계를 한 경우 설계결과가 잘 보이도록 R = 1kΩ, 10% L = 12 mH, 5%, C = 10 nF, 10% 와 같이 강조한다. ... 디코더를 이용한 7-Segment 구동 회로에 토글스위치를 추가하여 설계하였고 16가지 다른 입력 값에 따라 출력을 확인하였다. ... 서론 7-segment는 숫자를표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성됨 디코더(Decoder)는 2진부호, BCD부호, 기타 여러 가지 부호들을 부호가 없는
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.10
  • 한글파일 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트
    기본 이론 - BCD × 7- 세그먼트 디코더 - 7-세그먼트(7-segment)는 [그림 1]과 같이 LED 7개로 숫자를 표시한다. - 7-세그먼트(7-segment)는 0000 ... 기본 이론 - 비동기식 카운터 - 비동기식 카운터는 첫 번째 플립플롭의 CP(clock pulse)에만 클럭펄스가 입력된다. ... 기본 이론 - 4비트 2진(16진) 비동기식 하향 카운터 - 비동기식 하향 카운터는 각 플립플롭은 세트되고, 카운터는 1111에서 시작하여 15번?
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 켜지고 꺼짐에 따라 10진수 숫자 모양이 되도록 출력되게 할 수 있다. ... 또한 이론내용들을 참고하면서 새롭게 알게 된 alias라는 변수정의를 사용하여 코드를 짰다. 7 segment Decorder에서는 진리20 ... 경우를 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    BCD 디코더를 활용하여 7-segment display를 간단히 사용할 수 있다. 4bit 이진수 값 0~9를 BCD 디코더에 입력하면, 7개의 output이 나온다. ... 경우에 출력은 거짓(LOW)이 되고 다른 경우에는 참(HIGH)이 된다. 5) 7-Segment Display [7447 IC] 7447 IC는 Anode-type 7-Segment 디코더 ... [목차] [1] 연구개요3 (1) 프로젝트 선정 배경3 (2) 최초 목표 및 사양3 [2] 연구내용3 (1) 설계 관련 이론3 (2) 설계 회로도 및 동작 이해6 (3) 전체 회로도13
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • 워드파일 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    또 다른 방법은 이론 요약 부분에서 제시된 MUX를 이용하는 것이다. 그 비교기를 부분적으로 완성한 진리표가 보고서의 표 9-1로 주어져 있다. ... 디코더, 인코더 디코더 - n비트의 정보를 입력으로 받아 2^n개의 출력한다. 해독(decodng)기능을 한다. 명령어의 Operand/Address를 해독할 때 주로 사용한다. ... 부호기로서 부호화 작업을 수행한다. 4*2 인코더의 회로와 진리표 - 인코더와 디코더의 관계
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 예비보고서(6 멀티플렉서)
    관련이론 가산기, 비교기, 디코더, 인코더, 코드 변환기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 디코더, 인코더에 대해서 했으며, 이번 실험에서는 멀티플렉서, ... 디코더도 DEMUX로 사용할 수 있다. 그림 4는 1-선/4-선 디멀티플렉서 회로를 나타낸 것이다. 데이터 입력선은 모든 AND 게이트에 연결되어 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14 | 수정일 2020.11.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업