• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(563)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 101-120 / 585건

  • 한글파일 동기식,비동기식 카운터 예비레포트
    관련 이론 -카운터 카운터란 2개 이상의 플립플롭으로 구성되어, 매 입력 클록 펄스 마다 미리 정해진 순서대로 상태가 변하는 순서 논리 회로 또는 레지스터이다. ... 계수기가 계수한 이진수나 이진화 십진수가 디코더를 통해서 7세그먼트 발광 다이오드에 표시되는 숫자로 변환하여 인간이 알아볼 수 있는 정보가 된다. https://ko.wikipedia.org
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 아날로그 및 디지털 회로 설계 실습 결과보고서7 논리함수와 게이트
    Vcc= 1.8V Vcc=1.8V 일 때는 출력 전압은 1.7V로 나타나며 LED역시 꺼져있는 상태임을 확인할 수 있었다. 2X4 디코더를 구현하고, 정확히 동작하는 지를 확인한다. ... AND 4개 직렬연결 딜레이 측정값이 실제로 40ns가 확인되었고, datasheet에서는 and gate 하나의 딜레이는 8ns였으므로 이론상 4개의 and 게이트로 인한 딜레이는 ... OR 4개 직렬연결 딜레이 측정값이 실제로 40ns가 확인되었고, datasheet에서는 or gate 하나의 딜레이는 8ns였으므로 이론상 4개의 and 게이트로 인한 딜레이는 32ns
    리포트 | 24페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 한글파일 멀티플랙서와 디멀티플랙서 레포트
    배경이론 Ⅰ.멀티플렉서 1. 정의 -다중화기 -데이터 선택기 -여러 개의 입력 신호 중 하나를 선택하여 출력에 전달하여 주는 역할을 하는 회로 2. ... 디멀티플렉서의 차이점 [디코더] 입력된 신호에 의해 출력단자를 결정한다 A=1, B=0이면 D _{2}가 선택되어 D _{2}에 “1”이 출력, A=1, B=1이면 D _{3}가 ... M LEQ 2 ^{N}이 성립한다. Ⅱ.디멀티플렉서 1.정의 -데이버 분배기 -멀티플렉서의 역동작 회로 -1개의 입력 데이터를 선택신호에 의해 선택된 출력단으로 출력하는 회로 2.디코더
    리포트 | 4페이지 | 1,000원 | 등록일 2019.06.21
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    관련이론 1) FPGA FPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1] 넓은 평야
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 디지털회로실험 카운터 결과보고서
    아래 표 이론대로 결과값이 나왔음을 알 수 있다. ... 디지털 시계 : 일상생활에서 흔히 볼 수 있는 디지털 시계는 크게 카운터와 디코더로 이루어졌다. ... 일반적으로 디지털 시계는 [발진회로->분주회로->카운터회로->디코더회로->표시회로]로 구성되어 있다.
    리포트 | 12페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 아주대학교 논리회로실험 / 4번 실험 Multiplexer & Demultiplexer 예비보고서
    임석구 외 1인 공저, 『디지털 논리회로 (이론, 실습, 시뮬레이션)』, 제 2판, 2009 .p304-311, p316-335 ? ... 결과적으로 n비트로 구성된 2진 코드를 2 ^{n}개의 정보로 표현하게 해준다는 점에서 사실상 디코더와 같은 기능을 수행한다고 할 수 있다. 5. ... 실험 이론 Multiplexer (멀티 플렉서) 멀티 플렉서 회로도와 진리표 멀티 플렉서, 디 멀티 플렉서 블록도 멀티 플렉서에 대해 논하기에 앞서 먼저 멀티플렉싱에 대해 알 필요가
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 한글파일 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    이번 실험에서는 디코더(복호기)와 인코더(부호기) 회로를 구성하여 각각의 진리표의 진위를 확인해보고, 특성들을 파악할 수 있었다. ... 기본실험(1),(2)는 2-bit 복호기와 2-bit 부호기의 진리표를 확인해보는 실험이었는데 Logic Works의 이론값과 동일하게 나왔다. ... 이번 주 실험은 앞의 실험들보다 좀 더 회로가 복잡하였기에 Logic Works를 통해 프로그램 상으로 먼저 이론값을 출력해보고 실험에 임하였다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    배경 이론··········································3 3. ... Segment Decoder 7-Segmen의 0~F의 16진수를 표시 7-Segment는 8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 ... 배경 이론 (1) 7-Segment Decoder (FND) 1) Static 7-Segment 컨트롤러 설계 ① Static 7-Segment 7-Segment(FND)는 숫자나
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (5) Encoder and Mux
    인코더와 디코더, MUX와 DEMUX를 행위수준 모델링으로 구현하고, 설계한 논리를 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 확인한다. 2. 실험 이론 2.1. ... 실험 이론 (03) 2.1. Encoder (03) 2.2. Decoder (03) 2.3. Multiplexer (04) 2.4. Demultiplexer (04) Ⅱ. ... 실험 결과 3.1. 3 × 8 Decoder 아래는 위의 과정으로 작성한 3 × 8 디코더의 소스코드(.v)와 버튼 및 LED 맵핑(.uc는 위의 과정으로 작성한 4 × 2 인코더의
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    실험 이론 (1) 7-Segment Decoder - 7-Segment 또는 FND (flexible numeric display)라고 부른다. - 8개의 LED로 구성되어 있으며, ... 들어오도록 구성한다. - 기본적으로 아래와 같이 0~F의 16진수를 표시하기에 적당하다. - 8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 [논리회로실험] Decoder & Encoder 예비보고서
    실험이론 1) Decoding - n비트의 2진코드를 2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로 - 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 워드파일 코덱과 레퍼포맷
    - 코더(Coder)와 디코더(Decoder)의 합성어 - 코더(Coder) : 영상, 음성의 아날로그 신호를 디지털 신호로 변환 - 디코더(Decoder) : 디지털 신호를 영상, ... 디지털을 기반으로 DDEX가 나온 것 처럼 DTS를 기반으로 채널수를 7.1까지 확장한 코덱 DTS-HD MA (DTS-Master Audio) - 최대 비트율 24.5Mbps에 이론
    리포트 | 22페이지 | 2,000원 | 등록일 2021.03.03
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    제 1장 서론 1-1 1차 레포트의 필요성 및 목적 1-2 오늘 실습내용의 이론 설명 제 2장 각각의 schematic디자인, VHDL디자인 (1) 1비트 2x1 Mux Schematic ... DEMUX(DeMultiplexer) 멀티플렉서의 반대의 개념, 인풋은 하나가 들어오는데 select를 통해 다양한 출력을 뽑을 수 있게 하는 것 이다 Decoder 디코더는 활성화될
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 워드파일 SK하이닉스 설계 최종 합격 자기소개서(자소서)
    저는 게임 규칙과 점수 표시 모듈을 작성하였고, 다른 팀원은 디코더와 피에조, matrix data I/O모듈을 작성하였습니다. ... 이를 해결하기 위해 관련 이론을 찾아보며 Source Stepping Method가 적합하다는 생각이 들었고, 발산 문제를 해결할 수 있었습니다. ... 보여주고 싶으신 경험이 있다면 서술해 주십시오(선택사항) [회로 설계에 대한 관심과 열정] 전역 후 3학년이 되고 관심이 있었던 회로설계를 공부하며 관련 실험 과목을 수강하며 배운 이론
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.13
  • 워드파일 [텍사스인스트루먼트코리아 Field Applications Engineer 합격 자기소개서] 자기소개서 자소서, 자기소개서, 자소서, 합격자기소개서, 합격 자기소개서, 합격자소서, 합격자기소개서,합격자소서,기업 자기소개서, 기업 자소서, 기업자기소개서, 기업자소서, 취업 자소서, 취업 자기소개서, 면접 자소서, 면접 자기소개서, 이력서
    저는 게임 규칙과 점수 표시 모듈을 작성하였고, 다른 팀원은 디코더와 피에조, matrix data I/O모듈을 작성하였습니다. ... 이를 해결하기 위해 관련 이론을 찾아보며 Source Stepping Method가 적합하다는 생각이 들었고, 발산 문제를 해결할 수 있었습니다. ... 제품을 이해하고 설명할 수 있는 능력 [회로 설계에 대한 관심과 열정으로 대학생활을 하다] 전역 후 3학년이 되고 관심이 있었던 회로설계를 공부하며 관련 실험 과목을 수강하며 배운 이론
    자기소개서 | 12페이지 | 3,000원 | 등록일 2023.02.11
  • 한글파일 디지털 만보기
    관련 이론 1. 풀업저항 입력 신호를 정확하게 인식하지 못하고, 랜덤한 값을 감지하는 현상을 방지하기 위해 사용하는 것이다. ... -램프 테스트 입력 -작동 온도 최대 70°C -표준 TTL 스위칭 전압 74LS47 - FND에 최적화 된 IC - 2진수 입력을 받아 BCD코드로 출력하는 2진 to BCD 디코더
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • 워드파일 [예비레포트] Mod-n 카운터
    Mod-16의 네 출력을 7447 디코더에 IC칩과 7-segment Display에 연결하여 그 결과를 확인한다. ... 실험에 관련된 이론 동기/비동기 카운터 : 카운터는 미리 정해진 순서대로 Flip-Flop의 출력이 변화하는 것을 이용하는 것으로 클락에 따라 출력이 동시에 변경되는 동기카운터와 그렇지
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    들어오도록 구성한다. - 기본적으로 아래와 같이 0~F의 16진수를 표시하기에 적당하다. - 8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 ... 실험 이론 (1) 7-Segment Decoder - 7-Segment 또는 FND (flexible numeric display)라고 부른다. - 8개의 LED로 구성되어 있으며, ... FND가 하나만 있을 때는 input 8개만 넣어서 조절해주면 되지만, FND array는 FND가 4개가 있는 것이므로 이론상으로는 총 32개의 input을 조절해 주어만 이는 매우
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 인천국제공항공사 통신직 전공 문제 복원
    디코더 3. 직렬 INTERRUPT 처리? DAUSY CHAIN 회로이론 1. RC회로 문제 2. LR 회로 시정수 구하는 문제(L, R 값 주고 시정수는?) 3.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2021.12.13
  • 한글파일 vhid 전가산기 이용 설계 보고서
    이론적으로도 전가산기를 배우고 Quartus를 통한 실습으로도 배우므로 전가산기에 대한 이해도가 높아졌다. ... 이밖에도 디코더, 반가산기, 인코더 등등 많은 디지털 시스템이 있지만 설계 실습을 통해서 많이 알아가면 모든 디지털 시스템에 대한 이해도가 높아질 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업