• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(63)
  • 리포트(63)

"7segment 4bits vhdl" 검색결과 1-20 / 63건

  • 한글파일 논리회로실험) 7 segment 프로젝트 1 예비
    segment Decoder -BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, CDOTS g 신호를 만들어내는 ... 앞에서 입력한 8개의 8bit 값들이 각 대응하는 출력 값 3bit로 잘 출력이 되었음을 Wave Form의 형태로 확인 할 수 있다. 4. ... segment - 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. - 막대모양의 LED(Light Emitted Diode) 7개를 8자
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    여기서 sum의 값이 12비트이라서 segment의 값들을 4비트씩 할당을 해주어 segment를 1,2,3 세 개로 나누었다. ... 예를 들어 4개의 7 segment의 경우 Common Pin은 각각의 7 segment 별로 나누어져 있지만, A~h 핀은 4개의 7 segment들이 병렬로 모두 연결되어 있다. ... 각 비트마다 8,4,2,1의 숫자가 대응 되서 한자리 수를 표현하는데, 다른 방법으로는 4,2,2,1 또는 7,4,2,1 등이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9] 4) BCD-to-7-segment decoder BCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... [그림 19] [그림 20] 3) 과정 3 : 7-segment decoder의 동작 확인 VHDL 코드 및 시뮬레이션 결과는 다음과 같다. ... 사용 부품 1) 74LS47 7-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... 실험 재료 저항 7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 74151 7-segment 실험 방법 비교기 비교기의 기본적인 ... X=x3x2x1x0이라하고 Y=y3y2y1y0이라하고 둘의 합인 S를 s3s2s1s0이라고 하자. 명백하게 X+Y≤9가 된다면 합은 2개의 4비트 이진수의 합이 된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    선언부에서 각 LED를 변수로 설정하였고, seg변수를 8비트의 2진수로 구성하여, 각 비트7segment의 LED 1개씩을 담당하도록 한다. ... 그림2. 7segment display 2-4) Process(rst_n, clk)-현실의 1초를 셀 수 있도록 클럭을 설정하는 process Clock이 1초마다 값이 증가하도록 ... process로, sel 값에 따라 7segment의 위치를 2진수로 나타내어 준다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    BCD 가산기를 불러온다.(24행) BCD가산기는 8segment로 바꿔주는 코드이다. input으로 4bit의 수를 받는다.(6행) output으로 7bit의 수를 출력한다.(7행 ... 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 각각 출력되게 할 수 있다. 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    VHDL을 이용한 구현 (optional) STEP 19: 그림 34 – 7-segment display의 진리표 위의 code를 ISE의 text file에 입력한다. ... 마치 7-segment display 4개가 병렬로 연결된 듯한 모습인데, 4개의 part에서 각각 DIG1~DIG4가 공통으로 연결된 것을 볼 수 있다. ... 일반적인 decoder -7-segment display- 7-segment display는 A~G의 7개 LED로 간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    한편, 2개가 아닌 4개의 7-segment를 구 동하기 위해서는 4-to-1 MUX를 이용하고 select input에 2-bit up counter의 출력을 인가하면 된 다. ... 또한 4-bit up 카운터의 출력을 ROM의 입력에 인가하여 데이터의 주소 값을 결정한다. 회로는 [그림 3], [그림 4] 와 같다. ... [그림 3] [그림 4] A3 ~ A0 7-segment 0000 0100 1000 1111 [표 2] 3) 과정 8~14 이 과정에서는 clock 신호를 이용하여 실험 키트의 7-
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    각각 무엇을 의미하는지 설명해보면, clk_dc는 6개의 7segment를 모두 작동시킬는데, 왼쪽 4비트는 십진수 십의자리를, 오른쪽 4비트는 십진수 일의자리를 나타낸다. cnt_dc는 ... 7segment 표시방법 7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. ... 이를 외부로 보여주기 위해 7segment에 출력하는 코드를 작성하여 이것이 가진 십진수에 맞게 led를 작동시켜 표현하여야 한다. 4.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 FND Decoder FND Decoder의 정의 FND 란 Flexible Numeric Display 의 약자로서 7세그먼트 표시장치(Seven-segment ... 입력은 4비트 출력은 7비트 이다 0~9까지의 수가 입력이 되야 하므로 입력을 4비트로 사용한다. .DE2보드에선 low active 즉 0값일 시 led가 켜지게 된다. ... FND의 출력은 7비트를 할당해야 하므로 (6..0)으로 설정한 것을 볼 수 있다. . .
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 논리회로실험 프로젝트 2, stop watch 설계
    비트마다 8,4,2,1의 숫자가 대응 되서 한자리 수를 표현한다. ... 육안으로 확인 할 수 있어 단순하기 때문에 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다. - 7 segment 표시 다시 말해 n비트 Counter는 2 ^{n}-1까지 ... segment - cnt_dc는 LED판이 총 6개 이므로 각 부분에 7 segment를 할당해 주기 위해 0~5까지로 설정해 주었다. - clk_dc는 7 segment를 번갈아
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값과, LCD의 각 값을 조절한다. ... 조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 43개의 state만을 이용하도록 설정한다. 2-4) process(lcd_state) – state별 ... Wave form 상에서는 lcd_cnt값이 1씩 증가하였고, 그의 절반(비트수를 1자리 줄임)값이 lcd_state로 들어가, LCD의 설정값들을 출력하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    실험 재료 저항 7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 74151 7-segment 실험 방법 가산기와 감산기 가산기는 ... 아 래의 진리표를 완성하여 그림 6의 회로가 2비트 비교기로 작동할 수 있도록 D0~D7 단자에 0, 1, B1, 중에서 적절한 신호를 인가하여 회로를 완성하도록 한다 실험 결과 2비트 ... 가/감산기 4비트 가/감산기 회로를 구성하여 가산기와 감산기로 작동하는지 확인하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    [표 5] [그림 16] [그림 17] [그림 18] 4) 중간고사 2번의 회로를 FPGA(schematic)로 구현하여 7-segment로 동작 확인 최소화된 상태표 및 카르노맵을 ... 그림 26]에 나타내었다. 4비트 비동기 Up-카운터 로 잘 동작함을 확인할 수 있다. ... 따라서 T 플립플롭의 입력은 다음과 같이 정의할 수 있다. 4비트 Up-카운터의 회로는 [그림 3]과 같이 구현된다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 논리회로설계 실험 디코더 인코더
    segment 컴퓨터는 2개의 반가산기를 전가산기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다. (4) BCD(binary-coded decimal) 이진화 십진법 ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다. (5) BCD to 7 segment Decoder 컴퓨터 3. ... 논리회로설계 실험 예비보고서 #4 실험 4. 디코더 & 인코더 1.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 서강대학교 디지털논리회로실험 5주차결과
    Adder의 경우 7segment에 연결하여 직접 숫자로 표현 해보려고 했으나 breadboard상의 회로가 너무 복잡하게 되어 LED로 확인하는 것으로 대체하였다. ... 그 동작표는 다음과 같다. ▲ 74x181 Connection Diagram▲ 74x181 동작 Input 4bit에 따라 Logic이 달라지는 것을 알 수 있다. ... 다음은 Gate level로 설계한 3-bit ripple carry adder이다. ▲ 3-bit ripple carry adder 또한 같은 Logic을 VHDL code로 작성하면
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 한글파일 VHDL을 이용한 설계-7 segment
    k = 3 then segment ... 클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment ... = 4) and (k /= 5) and (k /= 6) and (k /= 7) and (k /= 8) then segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 워드파일 논리회로설계실험 스톱워치 설계과제2 결과보고서
    또한 8비트 signal로 csec, sec, min을 선언해 주었는데 오른쪽 4비트는 십진수 일의자리를 표현하며 왼쪽 4비트는 십진수 십의자리를 표현한다.그 외에 s_sw, s_clk ... 그러나 DIGIT_CON[4], DIGIT_CON[2], DIGIT_CON[0] 7 segment에 나타낼 숫자는 분, 초, 초의 일의자리 이므로 단위가 구분되기 때문에 7 segment ... 따라서 각각의 십의자리 수를 의미하는 값인 min(7 downto 4), sec(7 downto 4), csec(7 downto 4)를 seg함수를 이용하여 출력해 주었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업