• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(563)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 161-180 / 585건

  • 한글파일 [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    그냥 볼 때는 잘 몰랐는데 이렇게 이론을 배우고 실제 만들어본 결과 비록 실제 실험에선 이미 만들어진 세트를 사용하더라도 숫자하나를 표시하기 위해 일일이 하나하나의 LED를 따로 연결하며 ... 디코더의 의미와 동작 이해 6. 인코더와 디코더의 응용 능력 배양 Ⅱ. 데이터 1. ... 7447을 이용한 숫자표시기 설계 ① 7 세그먼트 디코더(Anode 형태) 10진 표시 형태 결과 모습 ② 7447 BCD-7 segment 디코더 인코더에서 NOT을 거쳐 나온BCD값에
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 한글파일 디지털회로실험 교안.hwp
    인코더와 디코더에 대해 알아본다. ? BCD/7-segment 디코더 드라이버에 대해 알아본다. 2. ... 인코더와 디코더에 대한 자주 쓰이는 몇터 - 함수발생기 - 전원공급기 2.2. 사용부품 - TTL 게이트 - 7 segment 디코더/드라이버 5. 실험방법 및 순서 1.1. ... 이때 디코더(74LS47)의 C` 와 D` 의 입력 단자는 0으로 접지 시켜라.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 워드파일 실험4 인코더와디코더-예비레포트
    \l "_Toc400473841" 2관련 이론 PAGEREF _Toc400473841 \h 2 Hyperlink \l "_Toc400473842" 2.1인코더와 디코더 PAGEREF ... 관련 이론 인코더와 디코더 인코더는 1개의 입력을 다수의 신호로 변환하여 출력을 얻는 회로를 말하며, 이를 부호기 라고도 한다. 10진/BCD 인코더는 각 10진 숫자에 대응하는 10개의 ... 실험 예비 문제 기초 이론에서 제시된 식들을 참고하여 OR 게이트로 구성된 10진수/BCD 인코더를 도시하라.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    실험목적 ① BCD code, Seven-segment display에 대한 이론 및 회로 ② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4- ... 고찰 Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 한글파일 mon-n 카운터
    Mod-n 카운터 실험에 관련된 이론 -동기 / 비동기 카운터 : 카운터는 미리 정해진 순서대로 Flip-Flop의 출력이 변화하는 것을 이용하는 것으로 클락에 따라 출력이 변경되는 ... Mod-16의 네 출력을 7447 디코더에 IC 칩과 7-segment Display에 연결하여 그 결과를 확인한다. ... Mod-16의 네 출력을 7447 디코더에 IC 칩과 7-segment display에 연결하여 그 결과를 확인한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.11
  • 한글파일 디지털공학개론,논리식, 카르노맵 그리고 회로
    책 디지털 논리회로 이론, 실습, 시뮬레이션 개정3판 / 임석구 / 2015년 7월 6일 ... 다음으로는 반가산기, 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 회로를 각각 그려보자. ... 비교기는 이고 디코더는 이고 인코더는 이다. 멀티플렉서는 이고 디멀티플렉서는 이다. 참고문헌 1. 네이버 지식백과 https://terms.naver.com/entry.nhn?
    리포트 | 7페이지 | 3,000원 | 등록일 2019.04.01 | 수정일 2021.06.13
  • 워드파일 실험5 7-세그먼트 디코더-예비레포트
    \h 1 Hyperlink \l "_Toc401081085" 2관련 이론 PAGEREF _Toc401081085 \h 2 Hyperlink \l "_Toc401081086" 3실험방법 ... 관련 이론 7 세그먼트 표시기는 0~9까지의 숫자를 표시하기 위한 소자로서 아래와 같은 모양을 갖고 있다. 0~9까지의 숫자를 나타내기 위해 LED 세그먼트 7개를 8자 모양으로 배치하고 ... 실험과정 3.1에서 구성한 회로의 출력을 BCD/7-세그먼트 디코더 드라이버(74LS47)의 입력에 연결하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.03.07
  • 워드파일 디지털공학실험 06. 조합논리회로응용 예비
    반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부 상용 ALU의 기능을 이해 상용화된 4비트 ALU를 이용하여 두수의 가감산을 실험함으로써 ALU의 동작과 응용 확인 이론 ... 즉 7-세그먼트 디코더는 BCD코드의 해당 10진수를 7-세그먼트 에 표시할 수 있도록 하는 디코더이다. 예비보고 ... 공통 캐소드 방식의 경우에는 해당 LED단자에 H를 인가하면 LED가 ON되며 공통 애노드방식에서는 해당 LED단자에 L을 인가하면 LED가 ON이 된다 7-세그먼트 디코더는 4비트
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 워드파일 디지털공학실험 05. 가산기 ALU 예비
    반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부 상용 ALU의 기능을 이해 상용화된 4비트 ALU를 이용하여 두수의 가감산을 실험함으로써 ALU의 동작과 응용 확인 이론 ... 즉 7-세그먼트 디코더는 BCD코드의 해당 10진수를 7-세그먼트 에 표시할 수 있도록 하는 디코더이다. 예비보고 ... 공통 캐소드 방식의 경우에는 해당 LED단자에 H를 인가하면 LED가 ON되며 공통 애노드방식에서는 해당 LED단자에 L을 인가하면 LED가 ON이 된다 7-세그먼트 디코더는 4비트
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 워드파일 조합논리회로 실험 이론정리(10주차)
    10주차 실험 이론정리 이번 시간은 저번 시간에 배운 가산기와 감산기 복습과 더불어 엔코더, 디코더, 비교기에 대한 이론을 공부하였다. ... 마지막으로 BCD – 7–세그먼트 디코더이다. 세그먼트 디코더는 BCD코드를 입력하여 각각에 대응하는 숫자를 표시하는 7-세그먼트 표시장치로 출력하는 디코더이다. ... 다음으로는 BCD 디코더이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.09
  • 한글파일 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    실험 이론 - 목 적 1) Encoder와 Decoder의 기능을 익힌다. 2) 부호변환 회로의 설계방법을 익힌다. 3) Seven-segment 숫자표시기의 사용방법을 익힌다. - ... 엔코더와 디코더 회로 조 13조 1. ... BCD-7 세그먼트 디코더는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 표 4-1과 같고 이는 7-세그먼트의 입력이
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 디지털논리회로 텀프로젝트
    작성, 재료구매, 이론 및 자료조사 작품 회로구성 이론 및 자료조사, 제안서 작성, 재료구매, 이론 및 자료조사, 최종 보고서 작성, 전체 예산관리 7. ... 역할 분담 이름 역할 이론 및 자료조사, 회로도 작성, 브레드보드로 1차 회로구성, 작품 회로구성, 제안서 및 최종 보고서 최종 ppt작성, 작품제작 발표 이론 및 자료조사, 제안서 ... 수 있는 장치이다. 7447디코더는 7세븐세그먼트의 구동을 위해 설계된 디코더이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 파워포인트파일 Power Supply Counter 응용설계 자료조사
    Power Supply 관련이론 회로도 시뮬레이션 3. 기타 사용부품 설계 일정 역할 분담 3. ... 부 품 부품명 수량 가격 부품명 수량 가격 변압기 1EA 4950 4510/4516 4EA 2200 브릿지 2EA 880 AND 게이트 2EA 880 제너다이오드 5EA 550 디코더 ... Counter 관련이론 회로도 시뮬레이션 3 / 20 220V AC 를 5V DC 로 출력하는 Power Supply 설계 최종 DC 출력 Ripple 3% 미만 대기표 발급시스템
    리포트 | 19페이지 | 4,000원 | 등록일 2018.07.31
  • 한글파일 기초회로 실험 9주차 예비보고서, 실험 9. Multiplexer 가산-감산
    디코더는 입력선에 나타나는 n 비트의 2진 코드 코드를 최대 2ⁿ개의 서로 다른 정보로 바꾸어 주는 조합 회로이다. 디코더는 n-to-m line (n×m) 디코더라고 하며, m? ... 실험에 대한 이론 (1) 멀티플렉서(Multiplexer) 멀티플렉서는 데이터 입력과 제어 입력을 가지고 있다. ... 실험 방법 및 준비물(실험 이론결과) (1) 와 같이 회로를 연결하고 진리표를 작성하라. 입 력 출 력(Y) S A B D?
    리포트 | 6페이지 | 2,000원 | 등록일 2018.03.23
  • 한글파일 MUX & DEMUX (예비)
    기본 이론(배경 이론) 멀티플렉서(Multiplexer)는 복수 입력신호 중의 어드레스 정보에 의해 선택, 출력하는 기능을 가진 회로또는 소자로서 데이터 셀렉터(Data Selector ... . - 디코더와 엔코더를 이해한다. 2. ... 회로를 디코더/디멀티플렉서라고 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.07.27
  • 한글파일 Xilinx-ISE 응용 레포트 (7-segment)
    이론 Seven-segment display는 표시 장치 의 일종으로, 7개의 획으로 숫자 나 문자 를 나타낼 수 있다. ... 위한 디코더이다. ... *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL 7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    : 3개의 입력선과 8개의 출력선을 갖는 디코더 표 SEQ 표 \* ARABIC 1 3x8 디코더 진리표 C B A O7 O6 O5 O4 O3 O2 O1 O0 0 0 0 0 0 0 ... 위의 실험 결과를 보면 모두 이론 값과 일치함을 볼 수 있고, 이는 코드가 올바르게 설계되었음을 의미한다. 5. Conclusion (결론) 가. ... Matters that require attentions (1) Attentions to manipulate equipments 앞에서 설명된 이론적인 부분을 확실히 학습하고 실험을
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    Matters that require attentions (1) Attentions to manipulate equipments 앞에서 설명된 이론적인 부분을 확실히 학습하고 실험을 ... : 3개의 입력선과 8개의 출력선을 갖는 디코더 표 SEQ 표 \* ARABIC 1 3x8 디코더 진리표 C B A O7 O6 O5 O4 O3 O2 O1 O0 0 0 0 0 0 0 ... 조합 논리 회로 설계 : 디코더 해독기 임의의 입력 번호에 대응하는 출력만을 활성화 시킴.
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 한글파일 04-논리회로설계실험-예비보고서
    예비 이론 (1) 디코더(decoder) 란? ... 디코더 엔코더 설계 1. ... 바꿔주는 조합논리회로이다. - 인에이블(enable) 단자가 있는 디코더와 각종 코드를 상호 변환하는 디코더도 있다. (2) 엔코더 란?
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 워드파일 인코더 디코더와 7 segment display
    관련이론 - 인코더 인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다. ... 구성한 회로의 3-Bit 디코더 역할 수행 여부를 확인한다. - 실험 전 예비보고서를 준비할 때 NAND 또는 NOR 게이트만으로 3-Bit 디코더 기능에 대한 이론적 논리회로를 구성하고 ... 그림 4-2의 디코더에 대한 진리표와 그림 4-1의 디코더에 대한 진리표를 비교해 볼 때 출력 값이 0은 1로, 1은 0으로 바뀌었음을 알 수 있는데, 이 디코더 역시 입력 값의 각
    리포트 | 12페이지 | 1,000원 | 등록일 2016.03.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업