• 통큰쿠폰이벤트-통합
  • 통합검색(571)
  • 리포트(554)
  • 자기소개서(8)
  • 시험자료(5)
  • 논문(3)
  • 방송통신대(1)

"디코더 이론" 검색결과 181-200 / 571건

  • [mahobife]디지털회로실험 멀티플렉서와 디멀티플렉서, RS 래치와 RS 플립플롭 예비보고서입니다.
    이론① 멀티플렉서 : 복수개의 입력 중에서 필요한 입력 하나를 선택하는 회로.② 디멀티플렉서 : 복수개의 출력 중에서 필요한 출력 하나를 골라내는 회로.1. ... 이러한 경우에 입력변수는 아직 표의 으로 2n-to-1라인 멀티플렉서는 n-to-2n 디코더와 이 디코더에 2n개의 입력선을 연결함으로써 구성된다. ... 멀티플렉서를 'MUX'로 줄여서 부르기도 한다.디코더에서처럼 멀티플렉서는 장치의 동작을 제어하기 위한 인에이블 입력을 가질 것이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • [예비레포트] Mod-n 카운터
    NE555의 1HZ를 설계하여 Mod-16 클락으로 사용하고 Trailing Edge 에서 출력값이 변화하도록 회로를 구성한다.Mod-16의 네 출력을 7447 디코더에 IC칩과 7 ... Edge 동기 Mod-16 카운터(실험2) 그리고 Trailing Edge 동기 Mod-16 카운터(실험3) 등의 특성과 내부 회로도를 확인하고 각 카운터들을 비교한다.실험에 관련된 이론동기
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • [예비레포트] 숫자표시기 아두이노
    숫자표시기 아두이노개요기존에 수행했던 숫자표시기 회로의 동작을 아두이노를 이용해 되풀이 해보고, 과거회로와 비교하여 어떤 부분을 프로그램으로 대체해 응용할 수 있는지 학습한다.관련이론숫자표시기7 ... 그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • 디지털실험 - 실험 5. Multiplexer 가산-감산 예비
    실험 이론- 목 적1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.- 이론1) ... 따라서 멀리플렉서의 논리식은Y`=` {bar{S}} (D _{0} {bar{AB}} +D _{1} {bar{A}} B+D _{2} A {bar{B}} +D _{3} AB)가 되어 디코더
    리포트 | 8페이지 | 1,500원 | 등록일 2017.04.02
  • Mod - n 카운터
    관련이론- 동기 / 비동기 카운터- CLK 펄스에 모든 Flip Flop이 동기화도지 않으며 동작함- 보통, 첫번째 (LSB) Flip Flop에만 CLK 펄스에 동기됨- 바로 앞 ... 출력 단자에 7447 디코더와 7 – Segment Display를 사용한다. ... 출력 단자에 7447 디코더와 7 – Segment Display를 사용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 디지털공학실습 : 디지털 시계 제작
    목차동작 원리회로도 및 사진부분설계 과정관련 이론부품표고찰1. ... 관련 이론SN7490(10진 카운터) - 7490은 2진 및 5진 카운터를 내장한 IC로 2진 카운터의 출력 QA와 5진 카운터의 INPUT B를 직렬 연결하면 10진 카운터(BCD ... 그 다음 카운터에서 나온 신호를 BCD-to-7세그먼트디코더(7447)을 이용하여 출력하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.11.25
  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    이론1.1 J-K 플립플롭R-S 플립플롭에서 S=1, R=1인 경우 불능 상태가 되는 것을 해결한 논리회로다. ... BCD-to-7 세그먼트 디코더는 BCD 수에 대해 4개의 입력이 있고, 세그먼트를 선택하기 위한 7개의 출력이 있다. ... BCD-to-7 세그먼트 디코더는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 세그먼트를 선택하여 적당한 출력을 발생하는 조합회로이다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 디지털로직실험 디멀티플렉서 레포트
    330Ω 6개, 1.0㏀ 2개이론 요약디멀티플렉서(demultiplexer, DMUX)는 디코더(decoder) 또는 데이터 라우터(router)로 사용될 수 있다. ... 이 점을 제외하고 동작은 동일하다.실험순서교통 신호 디코더이번 실험에서의 회로는 ‘이론 요약’에서 설명한 바와 같이 교통 신호 제어 시스템의 네 가지 상태(state)와 신호등 출력 ... 논리에 대한 상태 디코더이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2015.06.03
  • 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    strobe high를 걸어주면 select input 에 상관없이 w 는 high, y 는 low 로 출력되는 특성을 가진다.※ D0과 D1 의 주파수가 측정되지 않은 것은, 이론상 ... 디코더와 멀티플렉서1. ... 실험목적-디코더와 멀티플렉서의 기능과 동작원리, 7-SEGMENT 디코더의 동작원리를 익히고 7-segment LED 에 display 하는 모양에 따라 디코더를 설계할 수 있도록
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • [논리회로 및 실험] 3비트 입력 7-세그먼트 출력 디코더 실험 계획서
    3비트 입력 7-세그먼트 출력 디코더 실험 계획서실험 계획BCD-7-세그먼트의 구성에 대해 알아보고, 3비트의 입력을 받아 원하는 출력 값을 가지는 디코더의 구현을 통해 이해해본다. ... IC 핀 1개 (NOT 게이트)7408 IC 핀 4개 (AND 게이트)7432 IC 핀 2개 (OR 게이트)7486 IC 핀 1개 (XOR 게이트)점퍼 케이블실험에 필요한 기본 이론애노드 ... e f g011b c d e g100a b c g101d e f g110a b e f g111b c d e f g3비트 입력을 받아 아래의 표와 같은 7-세그먼트의 출력을 얻는 디코더
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.13
  • 멀티와디멀티
    토론 (실험 내용 요약, 결과와 이론 비교)(1)번 실험은 4×1 멀티플렉서를 구성하는 실험입니다. ... 이론 내용(1) 멀티플렉서(Multiplexer)멀티플렉서란? 여러 개의 데이터 입력을 적은 수의 채널이나 선들을 통하여 전송하는 전송기입니다. ... 아래의 그림 왼쪽은 개념도, 오른쪽은 블록도입니다.디멀티플렉서는 디코더와 밀접한 관계가 있습니다.
    리포트 | 10페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 논리회로실험 실험5 예비보고서
    실험에 필요한 이론 조사- 디코더(Decoder)디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 ... 2^n개, m개로 이하의 출력으로 바꾸어 주고 이를 n X m 디코더라고 합니다.옆의 그림은 2 X 4 디코더인데, AB 입력 값이 01일 경우에는 출력선 D1만이 1이고 나머지 ... 일반적으로 디코더는 n개의 입력선과 최대 2^n개의 출력 선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력 선들과 반대 값을 갖습니다.옆의 그림에서 입력이 n개면 출력은
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.09
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    로 놓고(active low) 수도꼭지의 역할 을 한다.⑤ 멀티미터로 출력값을 측정하고 분석한다.실험 결과 및 분석실제로 실험을 하지는 않았지만 위의 실험을 비교해 볼때 충분히 이론적으로도 ... -to-4 디코더 (IC 74139) 실험 방법① 첫 번째 실험과 마찬가지로 2 TO 4 디코더를(IC 74139)를 이용하여 그 결과를 비교한다.② 2번 핀과 3번 핀을 입력 단자로 ... - 인코더(encoder)는 본질적으로 디코더의 반대기능을 수행하는 조합논리회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • 디지털 로직 실험 디멀티플렉서를 이용한 조합 논리
    실험 순서교통 신호 디코더이번 실험에서의 회로는 ‘이론 요약’에서 설명한 바와 같이 교통 신호 제어 시스템의 네가지 상태(state)와 신호등 출력 논리에 대한 상태 디코더이다. ... .□ 오실로스코프를 아용하여 카운터-디코더 회로의 타이밍 다이어그램 작성.2. ... 평가 및 복습 문제1) 8비트 디코더가 필요하지만, 현재 가지고 있는 것은 74LS139A 뿐이라고 가정하자. 8비트 디코더를 구성하기 위해서 한 개의 인버터와 함께 이를 어떻게 사용해야
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 사용 이론6. 회로7. 결과1. ... 익혔던 이론을 익히고 새로운 이론과 새로운 소자들의 활용법을 알아가면서 숙달한다.2.작품의 활용방안이 작품은 모터를 이용해 회전하면서 기둥의 여러 면이 보이는 작품으로서, 우선적으로
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    BCD to Excess-3 Code Convertor - Simulation과 장비에서 비교이론 : Simulation실험 :장비에서 확인0000+4’b0011 = 00110001 ... Check agreement between the hypothesis and the resultInlab 1. 2비트 2 : 1 MUX 회로 - Simulation과 장비에서 비교이론 ... Simulation실험 :장비에서 확인I0 : 11, I1 : 01, S : 0I0 : 11, I1 : 01, S : 1Inlab 2. 4 : 1 MUX 회로 - Simulation과 장비에서 비교이론
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • [대충] 예비 인코더, 디코더와 멀티플렉서, 디멀티플렉서
    실험 이론디코더‘복호기’라고도 부르는 디코더는 최대 2ⁿ개의 서로 다른 정보 중에서 n비트의 이진 입력 코드에 대응하는 하나의 출력을 선택하는 조합회로(combinational circuit ... 디지털공학실험(예비보고서)실험 : 인코더/디코더와멀티플렉서/디멀티플렉서1. 실험 목적인코더와 디코더의 기능 및 그 구성 방법을 익힌다. ... 디코더는 n개의 입력선과 최대 2ⁿ개의 출력선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 활성화 된다.②인코더'부호기‘라고도 부르는 인코더는 디코더의 반대 기능을 수행하는
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    이론적으로SN74LS151은 8×1 MUX이다. 8개의 입력 핀은 D0~D7 = 4, 3, 2, 1, 15, 14, 13, 12이고 7번은 Enable 핀으로 High일 때만 TTL이 ... 실험에 필요한 기본 지식(1) 디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로를 말한다. ... .· 디코더와 인크도의 특성을 확인하고 부호 변화기의 동작을 살펴본다.· 디코더와 인코더의 구성방법을 익혀 각종 코드를 만들 수 있는 능력을 키운다.· 7-Segment 의 원리와
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 8장 논리함수와 게이트
    이것은 저항을 통해 전압이 소비되었기 때문이다.마지막으로 AND게이트 4개와 NOT게이트 2개를 이용해 2x4 디코더를 구현해 보았다. 2x4 디코더는 입력을 바꿔가며 넣어줄 때 출력단자 ... 실습계획서에서 작성했던 진리표 그대로 출력이 나왔기 때문에 매우 잘 된 실험이라고 생각한다. 1학년 2학기 기초논리시간에 이론으로만 배웠던 회로들을 직접 구현해보고 출력을 확인하며 ... (E) 2x4 디코더를 구현하고, 정확히 동작하는 지를 확인한다.진리표A1A0D3=A1*A0D2=A1*A0`D1=A1`*A0D0=A1`*A0`000001010010100100111000진리표대로
    리포트 | 9페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 디지털공학 텀프로젝트 [랜덤 번호 생성기]
    대입하여 내가 원하는 발진주파수를 출력해야 했는데 원하는 이론치를 얻지 못하여 힘들었다. ... Counter24R , R , VR330 , 1K , 10K20 , 2 , 15C0.1 , 471 , 16SR-1032AFND27S/Wswitch1 핵심 부품74LS477 세그먼트 디코더로 ... 출력 된 발진 주파수가 카운터로 입력되면 디코더를 거쳐 7 - Segment 에 숫자가 바뀌며 표시 되었다. 시행착오이번 텀 프로젝트는 첫 실습부터 마지막 실습까지 수업 시간에 내가
    리포트 | 8페이지 | 1,000원 | 등록일 2017.05.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대