• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(585)
  • 리포트(563)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 301-320 / 585건

  • 한글파일 기본 게이트 설계 예비보고서
    예비 이론 (1) CPLD, FPGA란? ... CPLD의 구조는 빠른 성능이나 정확한 타이밍 예측이 요구되는 어드레스 디코더나 시퀀스 회로 등에 적합하다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 [A+ 결과보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1 0 실험1은 NOT게이트와 AND게이트로 구성한 2X4 디코더 ... 실험1 실험2 -예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. ... ▶예비보고서의 예상결과값과 비교분석 실험3 실험4 -예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 한글파일 디지털실험 설계- BCD 7 세그먼트 설계보고서
    이론적 배경을 살펴보면, 세그먼트 디코더는 BCD에서 십진 숫자를 받아들여서 이를 나타내는 선분을 선택적으로 출력생성 하는 조합회로 이고, 7 세그먼트 표시기는 0에서 9까지의 숫자를 ... ◈ BCD-7 세그먼트 디코더 -논리회로 설계결과- 2조 2008065321 권태영 1. ... 이를 잘못 알고 연결하면 쇼트가 나서 세그먼트 디코더가 타거나 망가질 확률이 크고, 또한 리드선 끼리 부딪힌 곳이 있으면 쇼트가 나는걸 직접 겪고나서 알게 되었다. a b c d e
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 전자주사위 회로 리포트보고서
    1학기 (XXX 교수님) 자기주도 Term Project 1.프로젝트 전자 주사위 조립하기 2.팀구성 3.프로젝트 일정 1주차: 작품선정 및 구상 2주차~5주차: 작품에 관련된 이론연구 ... 디코더 회로 (74LS42) 가) 디코더 회로는 BCD(2진화 10진 부호) 신호를 받아 선택된 핀을 “L”상태로 한다. ... 나) 74LS42에서는 QD10번을 사용하지 안하기 때문에 8진 디코더로 동작하며 사용하지 않는 QD는 접지시켜야 한다.
    리포트 | 12페이지 | 2,500원 | 등록일 2012.07.02 | 수정일 2014.05.29
  • 파일확장자 (ㅅㅅㄷ) 2-1 논리회로실험 예비+결과 레포트 모음입니다.
    기본 이론(배경 이론) 가. 디코딩 디코더는 n개의 입력선으로부터의 2진식 정보를 최대 2n개의 독자적인 출력선으로 변환하는 조합회로이다. ... 예를들어 2X4디코더는 가장 간단한 디코더로서 회로는 아래와 같다. 3개의 인버터는 입력들의 보수를 제공하여 주며, 8개의 AND게이트의 각 하나는 초소항의 하나를 발생시킨다. ... 이 디코더의 특수한 응용은 2진식 코드에서 8진식 코드로의 변환일 것이다.
    리포트 | 4페이지 | 3,000원 | 등록일 2011.03.28
  • 한글파일 아주대논리회로실험 5장.인코더디코더 결과
    이와 같은 방법으로 반복하면, 위의 이론적인 EXCESS CODE TABLE과 같이 나오게 되는 것이다. ... 예를들어 3x8디코더를 만들기 위해서는 2개의 2x4디코더가 연결되고, 입력 중에서 2개의 최하위 비트는 양쪽 디코더에 연결하고, 최상위 비트는 다른 한쪽 디코더의 enable입력에 ... 또한 실험에서는 2x4디코더의 결과를 확인해 보았지만, Enable입력을 갖는 2x4디코더도 만들 수 있고, 더 나아가 2x4디코더를 2개 사용하여 3x8디코더를 만드는 것도 가능하다
    리포트 | 8페이지 | 2,000원 | 등록일 2011.12.21
  • 한글파일 아주대 논리회로실험 실험5 결과보고서
    이론을 알고 그것이 실제로 어떻게 동작하는 지를 확인해 보는 것이었다. ... 실험 1은 2X4 디코더로 2개의 입력 비트를 2진수로 받아 10진수로 출력하는 기본적인 디코더였다. ... 두 번째 결선에서는 이론대로의 결과값이 나와서 디코딩이 제대로 되고 있음을 확인할 수 있었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.02.29
  • 한글파일 실험4예비 MUX&DMX
    이론 MUX는 디지털통신의 다중화장치인 Multiplexer의 약자로, 여러 입력 신호 중 선택제어 신호에 의해 어느 하나의 입력신호를 출력신호에 전달하는 데이터 선택 논리회로이다. ... 74HC153, 74HC139 Pin configuration Logic symbol Functional diagram 높은 스피드의 Si-gate CMOS 장치이다. 2개의 독립적인 디코더
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 한글파일 [A+ 결과보고서] 아주대 논리회로실험 실험4 '멀티플렉서 & 디멀티플렉서'
    -디코더칩의 구조와 동작에 대해서 이해한다. 2.실험결과 ●멀티플렉서 실험결과 MUX 입력 출력 E S1 S0 D3 D2 D1 D0 +5V X X X X X X 0, 0 0 0 +5 ... -예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. ... 사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.27
  • 한글파일 디지털실험 - 실험 10. 4-Phase clock 발생기 예비
    JK플립플롭을 이용해서 카운터 효과를 이용해서 0과 1을 만들어가며 만든 데이터를 디코더 회로에서 디코딩함으로써 클럭이 발생하게 된다. ... 실험 이론 - 목 적 1) 비중첩 클럭펄스를 발생시키기 위해 74139의 사용방법을 익힌다. 2) 74139를 사용하여 발생된 클럭파형의 이상여부를 확인한다. - 원 리 - 다위상클럭은
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    Encoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다. 3) MUX에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다. 4) 실험1을 통하여 4 to ... Encoder, Decoder, MUX 2.Name 구 분 학 번 이 름 3.Abstract 1) Decoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다. 2) ... -to-4 디코더와 1-to-2 디코더를 사용할 수 있다.
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 한글파일 (예) 12. 멀티플렉서를 이용한 조합 논리
    반대로, 한 개의 입력을 여러 출력 중 하나로 연결하는 기능은 디멀티플렉서 혹은 디코더 라고 한다. 이러한 정의를 그림에 나타내었다. ... 이론 요약 멀티플렉서 혹은 데이터 선택기는 여러 입력 중의 하나를 한 개의 출력으로 연결한다. ... 다른 방법은 이론요약에서 설명한 8:1멀티플렉서를 사용하는 것이다. 실험보고서의 표에 비교기에 대해 일부분만 완성한 진리표를 나타내었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • 한글파일 스톱워치(stop watch) 설계 프로젝트
    이론적으로 완벽히 동작할 것 같았던 설계도 직접 실험실에서 구현해보았을 때 제대로 동작하지 않았던 확률이 체감상 70% 이상이었던 것 같다. ... (첫번째 7447은 각 MUX의 첫 번째 라인, 두 번째 7447은 각 MUX의 두 번째 라인 등으로 설정) 구조적으로 디코더를 통해 첫 번째 7segment의 Vcc를 출력할 때 ... 또한 Clock Divider에 의해 나뉘어진 1kHz 클럭과 카운터를 사용하여 빠르게 MUX와 디코더를 control하기 때문에 결과적으로 5개의 7segment가 동시에 모두 켜져
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 한글파일 예비 Decoder & Encoder
    입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력변수로 된 최소 항을 2n개 이하의 출력으로 바꾸어 준다. ... 실험이론 Decoder & Decoding : Encoder 혹은 Encoding과 반대의 개념으로 n비트의 2진 코드를 2n개의 서로 다른 정보로 바꾸어 주는 조합 논리회로.
    리포트 | 8페이지 | 1,500원 | 등록일 2013.12.26
  • 한글파일 디지털 1장 예비보고서
    관련이론 1) NOT Gate NOT 게이트(인버터라고도 함)는 반전 또는 보수화라고 일컫는 연산을 수행하며 하나의 논리 레벨을 반대의 레벨로 변경한다. ... 데이터북을 참조하여야 하며, 보통 High 에서 전류보다, Low 에서의 출력전류가 크다. 0~30번대 논리 게이트류(AND, OR, NOR, NAND, XOR 등) 41~48번대 디코더
    리포트 | 11페이지 | 1,000원 | 등록일 2016.10.07
  • 한글파일 4. 디코더,인코더 및 다중화기 역다중화기 7세그먼트 디코더
    관련 이론(Theoretical Background) 1. ... 모든 출력들이 동일한 값 1을 가짐), E가 1일 경우에는 디코더로 동작하게 된다. 입력은 2개, 출력은 4개가 되는데 이를 2라인-4라인 디코더 또는 1 ... 학기 2011년 1학기 과목명 디지탈논리회로실험 LAB번호 실험 제목 4 디코더/인코더 및 다중화기 역자중화기, 7세그먼트 디코더 실험 일자 제출자 이름 제출자 학번 팀원 이름 팀원
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 [기전공학실험]전기전자모터구동 레포트
    아날로그 데이터를 계산이 가능한 부호, 곧 각 시스템 내에서 사용하는 디지털 코드로 변환시켜 주는 인코더(encoder)의 상대용어로, 흔히디코더(decoder)라고 한다. ... 관련 이론 ※소자 가. 저항 1) 저항 저항이란 전류의 흐름을 억제하는(흐름을 곤란하게 하는) 기능을 가지고 있다. 회로도의 기호로는 으로 표시한다. ... 동작하며 수광부의 전압과 기준전압을 비교하여 수광부쪽이 크면 슈미트리거처럼 작동하여 output쪽으로 TTL레벨의 High를 내보내고, 그 반대이면 Low를 내보낸다. ※ 회로 관련 이론
    리포트 | 15페이지 | 1,500원 | 등록일 2016.03.14
  • 한글파일 통신실험예비(Unit9)
    실험이론 PCM은 가장 일반적으로 사용되는 디지털 전송의 한 형태이다. PCM 신호는 두 가지 방법(병렬전송 또는 직렬전송) 중 하나로 인코더에서 디코더로 전송되는 형태이다. ... 전송라인은 다른 끝에서 PCM 디코더는 serial-to- parallel converter를 필요로 한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2013.11.12
  • 한글파일 [논실]예비5, 200820126, 안효중, 4조
    인코더가 어떻게 동작하는지 알아본다. [2] 주요 이론 ① 디코딩 (Decoding) ② 인코딩 (Incoding) n비트의 2진코드를 개의 서로 다른 정보로 바꾸어 주는 것이다. ... → 74HC04, 74HC08을 이용해 2X4 디코더를 구성한 뒤 입력을 스위칭하면서 LED의 점등 여부를 확인해 본다. ... 이 실험에서는 2비트의 입력을 개의 출력으로 디코딩 여부를 확인할 수 있다. ② BCD to Decimal Decoder → BCD 코드를 10진 코드로 바꾸는 디코더이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.29
  • 한글파일 6장 인코더와 디코더, 7장 멀티플렉서와 디멀티플렉서
    인코더와 디코더 1. 그림 6.1의 회로를 구성하고, 출력전압을 표 6.3에 기입하시오. ... [기본이론] 1. 멀티플렉서(Multiplexer) 멀티플렉서는 여러 곳의 소스로부터 입력되는 데이터(디지털 정보) 중에서 하나를 선택하여 하나의 출력 단으로 보내는 장치이다. ... 디코더도 디멀티플렉서로 사용할 수 있다. 따라서 선택선이 n비트이면 2n개의 출력선 중에서 하나를 선택할 수 있다. 그림 7.3은 1×4 디멀티플렉서의 논리 기호를 나타내었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:20 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기