• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(503)
  • 리포트(472)
  • 시험자료(19)
  • 방송통신대(6)
  • 자기소개서(4)
  • 논문(1)
  • ppt테마(1)

"2x1 Mux" 검색결과 261-280 / 503건

  • 한글파일 d-day 계산기 설계
    3 14 2010.12.15 5 19 인건비 : 19시간 X 1만원 : 19만원 총 line 405줄 생성 symbol 14개 총 사용 symbol 25개 인건비 19*1 = 19만원 ... 주어 만일 input_presen 입력이 활성화 된다면, 즉 이용자가 현재날짜를 입력한다면 year_days_mux symbol 에 현재날짜를, 그렇지 않다면 발표자 생일인 year1 ... 앞에서 mux symbol 이 선택하여 준 4자리 숫자를 7-segment 에 출력만 하면 된다. black 입력 값이 있어 black 값이 1 이 되면 7-segment 가 모두
    리포트 | 17페이지 | 2,500원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 워드파일 dmac final report
    Interrupt register가 '0x1'이 되면 DMAC는 host에게 interrupt signal을 전달하고DMAC는 interrupt 상태가 된다. ... 모든 data의 전송이 끝나면, 즉 Data size가 0이 되면 DMAC는 interrupt register를 '0x1'로 변경하여 data 전송을 완료했음을 나타낸다. ... Design Detail 1) Memory 32 X 256 Memory 설계 한 주기에 Read / Write 중 한가지 동작수행 할 것인가 한 주기에 Read / Write 동작
    리포트 | 19페이지 | 2,000원 | 등록일 2012.02.29
  • 한글파일 modelsim을 이용한 해밍코드 소스
    = (x1+x2+x3) wx1+x2+x4+x6 = 0a : b; endmodule module mux16bit (a, b, s, out); input s; input [15:0] ... 발생한 위치를 파악이 가능하다. 1개 또는 2개의 오류 검출 1개의 오류 정정 nm = 4, k = 3 wx1+x2+x3+x5 = 0 (1), x5 = -(x1+x2+x3), x5 ... b & binv); assign x2 = (a & aen); assign x3 = !(x1 & x2); assign x4 = !(x1 & x3); assign x5 = !
    리포트 | 13페이지 | 2,000원 | 등록일 2010.12.10
  • 한글파일 MU0명령어추가(Clear Accumulate, AND, RSB, CMP)
    값이 Asel MUX를 통해 나와 메모리를 접근하여 다음 명령어는 IR에 로드 시킨다. 그리고 address버스를 통해 ALU로 가서 PC를 1증가 시킨다. 2. AND ? ... 1 1 0 B+1 1 1 0 [ Fetch 과정 ] CLA의 execute path CLA의 fetch path 첫 번째 clock에서 IR레지스터에서 바로 Bsel MUX를 통해 ... ACC15 AND 1010 0 1 x x Ouputs Asel Bsel ACCce PCce IRce ACCoe ALUfs MEMrq RnW Ex/ft 0 0 0 1 1 0 B+1
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.20
  • 한글파일 마이크로 과제1-4
    ADCH/ADCL -ADMUX 레지스터- ① 기준전압 : AREF 전압, 내부전압, 아날로그 공급전압을 설정 ② ADC변환 레지스터 : MUX 4 3 2 1 0 -ADCSR 레지스터 ... 관련 이론 (1) ADC ATmega32 -10-bit ADC 1개 -8개의 입력신호 처리 가능(MUX) -기준 전압 ? ... 변환 TX1_Byte((fnum2 % 10) + 0x30); // ASCII code 변환 TX1_Byte('V'); TX1_Byte('\r'); TX1_Byte('\n'); }
    리포트 | 13페이지 | 1,000원 | 등록일 2012.04.01
  • 한글파일 예비04_Multiplexer Demultiplexer
    (2) 다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말: Buffer는 High ... (3) 74138, 74139 디코더 칩의 구조와 동작에 대해 설명하시오. · 74HC138 ... 예비학습 (1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말 : AND 게이트와 OR 게이트의 bit mask
    리포트 | 5페이지 | 2,500원 | 등록일 2010.10.19
  • 한글파일 Verilog 4bit ALU Design (4비트 ALU설계)
    B XOR 0 1 1 1 X F = A' Complement A 1 0 X X X F = shr A Shift right A into F 1 1 X X X F = shr A Shift ... A Transfer A 0 1 0 0 X F = A ∧ B AND 0 1 0 1 X F = A ∨ B OR 0 1 1 0 X F = A ? ... 이면 오른쪽 입력이 선택된다. ◇ 연산자 Module은 opcode(2-0)에 따라 다음과 같은 연산을 지원한다. - 000 : op_s = a - 001 : op_s = mux_s
    리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • 한글파일 atmega32 ADC&USART
    TCNT0=0x64; // {(255-x)+1}*1024/16M=10m [sec] 에서 x 구한 값. ... 1이상 만들어줌. int num1=max_five; num2=(double)max_five-(double)num1; thx(num1); thx('.'); thx(num2); thx ... 소자의 특성상 3핀(PIN)인 경우가 많다. (4) ADC -ATMEGA32에는 10비트의 ADC가 있으며 A포트(0~7번) 8개의 입력을 MUX하여 받을수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.10
  • 한글파일 Encoder .Decoder. 7-segment 결과레포트
    이 실험을 통해 Encoder, Decoder, 7-segment LED 의 특성과 원리를 이해한다. * 이번 실험은 지난 실험( MUX and DEMUX )처럼 New Project를 ... 예를 들어, Input ( i0 : 1 )일 땐 0으로 표현되는 2비트 ( d1,d0 = 0, 0 ) 으로 표현된다. 2. 2 X 4 Decoder 1) 2 x 4 decoder 회로를 ... [0]d[0]PIN_G19SW[2]i[2]PIN_AC27LEDR[1]d[1]PIN_F19 3) 4 x 2 encoder 회로 FPGA 검증 [ 그림 ] 4 x 2 encoder 회로
    리포트 | 14페이지 | 2,000원 | 등록일 2014.01.06
  • 워드파일 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    같을 때에는 1을 출력하고 같지않으면 0을 출력한다. -16X8 MUX- 8X4MUX를 2개 연결하여 구현하였다. ... DvdLoad의 값이 1이 되면 16X8 MUX의 Select에 1이 입력되면서 나누어질 수(B0..B7)를 8BIT레지스터에 로드 한다. 2. ... . 4개의 2X4디코더를 사용하여 구현하였다.
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 한글파일 [디지털시스템실험(Verilog)] 32×32 Binary Multiplier 예비보고서
    마찬가지로, B의 MSB가 0이면 B값을 그대로 wire Z에 저장하고, MSB가 1이면 B의 2의 보수인 X값을 wire Z에 저장한다. ... (여기서는 Trash[n]) 이후 MUX를 이용하여 A의 MSB가 0이면 A값을 그대로 wire Y에 저장하고, MSB가 1이면 A의 2의 보수인 W값을 wire Y에 저장한다. ... 먼저 A의 2의 보수를 취해 wire W에 저장하고, B의 2의 보수를 취해 wire X에 저장한다. 이때 모든 complement unit의 Cout 값은 쓸모 없는 값이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2011.10.05
  • 워드파일 전력전자 Inverter(인버터) Simulink구현 프로젝트
    MUX를 통한 입력 3상과 톱니파의 파형 도시화 2) [Sub-Block 2] Voltage Regulator 블록 실제로 MUX를 통해서 받아온 반송파와 기준파의 비교를 통해서 SF1과 ... 각각의 Constant Block으로 밖으로 빼고, MUX의 이용을 통해서 각 값을 다 받는다. 그후 f(x) Function 블록에서 내가 원하는 sin파형을 선언해 주었다. ... SF2를 각각의 상에 생성을 시켜준다.
    리포트 | 14페이지 | 2,500원 | 등록일 2012.02.13
  • 한글파일 VHDL 8비트 CPU설계
    부분의 블록도 2-1) 2 X 4 Decoder의 구조 ① 회로 그림 ) 디코더 기본회로 ②소스 library IEEE use IEEE.std_logic_1164.all; entity ... 1. 연구제목 8비트 CUP 설계 2. 목적 지금까지 배운 것을 기반으로 하여 8비트 CUP설계를 하여 구현을 해본다. 3. ... 일반적으로 말하는 펜티엄 컴퓨터는 내부 버스의 크기가 64bit인 컴퓨터이다. 1-1) CPU의 동작원리 호출기능, 해독기능, 제어기능, 연산기능 예) '2 + 3'을 처리하는 경우
    리포트 | 29페이지 | 10,000원 | 등록일 2012.12.18 | 수정일 2019.05.30
  • 한글파일 [디지털시스템실험(Verilog)] 32×32 Binary Multiplier 결과보고서
    A, B와, 이들의 보수인 W, X의 값을 32bit의 2to1 MUX를 통해 선택할 차례이다. ... 반대로 MSB가 1일 경우 음수이므로 B의 2의 보수인 X를 선택한다. 선택된 결과값을 wire Z에 저장한다. ... 반대로 MSB가 1일 경우 음수이므로 A의 2의 보수인 W를 선택한다. 선택된 결과값을 wire Y에 저장한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 한글파일 디지털 회로 설계 프로젝트 vending machine (자판기)
    ) D2 = Q2* = T1 x (ND400+ND500+ND600) (ND400 = D400 x CP400_b) d. block diagram 넣는 돈의 종류(100원을 넣거나 500원을 ... D0 = Q0* = (T0 x ALL_IN_ZERO) +(T1 x ALL_IN_ZERO) +{ T1 x (M500+ND500) } D1 = Q1* = T1 x (M100+M500+ND600 ... 이것이 T1에서 넘어가는 T2~T6까지의 다섯 종류 inputs이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • 한글파일 verilog 4bit alu
    이때 논리연산은 4x1MUX로 and or xor not을 결정하고 2x1MUX로 산술(M=1)인지 논리(M=0)인지 결정한다. ... mux4t1 mbit0(A[0],B[0],S0,S1,G[0]); mux4t1 mbit1(A[1],B[1],S0,S1,G[1]); mux4t1 mbit2(A[2],B[2],S0,S1, ... G[2]); mux4t1 mbit3(A[3],B[3],S0,S1,G[3]); endmodule module mux2t1(A,B,C_in,M,S0,S1,C_out,F); input [
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 한글파일 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    we made 8×1 multiplexer consists to two 4x1 mux and one 2x1 mux. 4x1 mux code was in the textbook and ... we could make 2x1 mux by application this. ... Next is 8x1 multiplexer verilog HDL code. module MUX_4_TO_1 (I0,I1,I2,I3,Y,S); inputI0,I1,I2,I3; input
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • 파워포인트파일 [경제학]【A+】소비자와 생산자의 선택
    상품의 가격만 하락하면 ⇒ X 상품의 1원당 한계효용이 Y 상품의 1원당 한계효용보다 커짐 MUX MUY PX PY ⇒ 소비자 균형을 회복하기 위해서는 X 상품의 한계효용이 감소해야 ... 좌변과 우변은 각각 X 상품의 1원당 한계효용과 Y 상품의 1원당 한계효용을 의미 즉 소비자균형 조건은 X 상품의 1원당 한계효용과 Y 상품의 1원당 한계효용이 같을 때 이루어짐 ... 한계효용이 모두 같아질 때 달성 이를 한계효용 균등화의 법칙이라 함 X(생활필수품)와 Y(기타 상품)의 두 상품만 상정한 경우 소비자의 효용극대화 조건 MUX MUY = PX PY
    리포트 | 21페이지 | 1,000원 | 등록일 2011.08.01
  • 한글파일 무차별곡선과 소비자균형
    I1처럼 예산선과 교차하지 않는 곡선과 I2처럼 예선선과 접하고 있는 곡선, 그리고 I3처럼 예산선과 교차하고 있는 선이다. ... I1의 경우 예산선을 초과해서 구입하기 때문에 성립하지 않으며, I2에서는 A,C가 조건에 맞는다. B지점은 예산이 남기 때문에 조건에 맞지 않는다. ... 이는 MPSxy=Px/Py로 나타낼 수 있는데, MPSxy는-&y/&x=MUx/MUy이므로, 이것은 다시 MUx/MUy=Px/Py로 정리할 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.05.07
  • 한글파일 아주대 논리회로실험 예비4-멀티플렉서와 디멀티플렉서
    결선하여 2x1 Mux를 만든 회로의 결과값이 같음을 알 수 있다. ... 논리식을 계산해보면 으로 결과값이 맞음을 알 수 있다. (2)다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말: Buffer는 ... 예비보고서 (1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말 : AND 게이트와 OR 게이트의 bit mask
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:37 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기