• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(503)
  • 리포트(472)
  • 시험자료(19)
  • 방송통신대(6)
  • 자기소개서(4)
  • 논문(1)
  • ppt테마(1)

"2x1 Mux" 검색결과 201-220 / 503건

  • 한글파일 [FPGA_전자회로] Full-Adder를 이용한 신호등 구현과 16 to 1 Mux 구현
    코드 (1) 16 to 1 mux 코드 module mux_16x1(i0,i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15,sel,y); input ... 16 to 1 mux 테스트 벤치 코드 module tb_mux16x1(); reg i0,i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15 ... ; reg [3:0]sel; wire y; mux_16x1 m(.i0(i0), .i1(i1), .i2(i2), .i3(i3), .i4(i4), .i5(i5), .i6(i6), .i7
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.11
  • 한글파일 디지털회로실험 11장. 멀티플렉서/ 디멀티플렉서
    완성된 구현표를 바탕으로 MUX를 이용하여 부울함수를 구현하면 다음과 같은 논리회로도가 나타난다. ? ? 2. ... 다음으로 입력변수 A,B,C,D 중에서 이번에는 가장 순서가 낮은 D를 MUX의 입력단으로 결정하고 나머지 변수 A,B,C를 선택선 S2,S1,S0에 각각 연결하는 것으로 생각하자. ... 또한 멀티플렉서는 MUX라는 약어로 표현되기도 한다. ?
    리포트 | 17페이지 | 1,000원 | 등록일 2014.04.07
  • 한글파일 미시-소비자균형논하라
    즉 X재의 가격을 Px, X재의 한계효용을 MUx라고 본다면, 'MUx/Px=MUy/Py=1단위의 한계효용' 이라고 정의할 수 있다. ... 즉, MUx=△U/△x이다. 또한 재화의 소비량이 1단위씩 증가할 때 각 단위의 한계효용은 점차 감소하는데 이를 한계효용체감의 법칙이라고 한다. ... X?MUx ?X=?TUx/MUx -?Y/?X= =MUx/MUy 따라서 MRSxy=-?Y/?X=MUx/MUy이다. 또한 예산선기울기와 한계대체율은 같다.
    리포트 | 2페이지 | 1,500원 | 등록일 2012.03.26
  • 한글파일 VerilogHDL 가산기 정의와 카르노맵, 논리회로, TB 시물레이션,파형분석과 고찰
    경우에 따라 4x1, 8x1 등 멀티플렉서를 설계할 수 있다. [3] 2 to 1 Multiplexer Module & TB 1. 코드 2. Simulation 3. ... 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SUM=X x Y x Z C= XY + XZ+ YZ 3. ... 테스트벤치는 Verilog 언어를 이용하여 모델링 되었으며, 시뮬레이션으로 논리동작을 검증하고 결과 파형을 분석하여 설계가 올바로 되었음을 증명하였다. # [Multiplexer(MUX
    리포트 | 13페이지 | 1,500원 | 등록일 2015.05.08
  • 한글파일 ATmega128을 이용한 탁구 서비스 머신
    = 0) // 자동 모드일 때 { PORTB = (rval & 0b00000011) | (PORTB & 0b11111100); // OCR0와 OCR2를 2-1 MUX로 무작위로 읽어 ... DC 모터 Quad 2 to 1 MUX IC인 74157을 이용하여 동작을 제어 스텝 모터와 마찬가지로 TR과 다이오드를 이용하여 모터에 전류를 흐르게하여 구동한다. 10k의 저항만 ... 력 PB0 발사부 모터 제어 74157 IC (2개) PB1 PB4 (OC0) DC 모터 (2개) PB7 (OC2) PB5 (OC1A) 공 1개씩 추출되게끔 제어 서보 모터 (1개)
    리포트 | 23페이지 | 2,000원 | 등록일 2014.10.01 | 수정일 2016.04.14
  • 한글파일 아주대 논회실 논리회로실험 실험5 결과보고서
    실험 과정 및 결과 -실험결과 기록 및 정리 -2x4 Decoder 이 회로를 브레드보드에 구현한 결과 S1=0 S2=0 S1=0 S2=1 S1=1 S2=0S1=1 S2=1 S1 S2 ... D1 D2 D3 D4 0 0 0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 0 1 1 1 0 0 0 :실험 결과가 예비보고서의 실험 예상과 일치한다. 2x4 Decoder는 ... 그리고 실험 4에서 진행한 MUX와 DEMUX 실험이 이번 실험과 연관될 수 있는데, MUX와 DEMUX 역시 서로 다른 수의 입력을 받아 출력을 나타내는 회로로 Encoder, Decoder와
    리포트 | 9페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 워드파일 Hierarchical Combinational Design Using MSI Macros
    f; wire r0,r1,r2,r3; mux mux0(~d,~a,a,r0); mux mux1(d,~c,c,r1); mux mux2(~r0,~e,e,r2); mux mux3(r2,r1 ... ,r1,r3); mux mux4(b,r3,b,f); endmodule ☞I designed the circuit by using only ‘mux’ and ‘inverter’ and ... ◆Experiment Design a 2-1 multiplexer Sel Out 0 A 1 B out= sel’A + selB // Verilog Code module mux( a,
    리포트 | 14페이지 | 1,000원 | 등록일 2012.02.11
  • 파일확장자 [verilog]Modified Booth Multiplier 설계
    cout2;wire [8:0] sum0, sum1, sum2;mux_sel M1(x,X_sel_0, X2_sel_0, NEG0, pp1);mux_sel M2(x,X_sel_1, X2 ... _sel_1, NEG1, pp2);mux_sel M3(x,X_sel_2, X2_sel_2, NEG2, pp3);mux_sel M4(x,X_sel_3, X2_sel_3, NEG3, pp4 ... );cod_cir C1(1`b0,y[0],y[1],X_sel_0,X2_sel_0,NEG0);cod_cir C2(y[1],y[2],y[3],X_sel_1,X2_sel_1,NEG1);cod_cir
    리포트 | 13페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • 한글파일 UART, AD 컨버터 예비보고서
    ADPS(2:0) = “110” 프리스케일러 64분주 ??????????? ADEN = ‘1’? ADC를 Enable*/ ADCSR |= 0x40;???? /*? ... MUX(4:0) = “00000” ADC0 핀사용 단극성 입력 사용*/ ADCSR = 0xA6;????? /*? ... // Rx(입력 0), Tx(출력, 1) UCSR0A = 0x00; UCSR0B = 0x18;??????????? ?
    리포트 | 13페이지 | 1,500원 | 등록일 2015.11.23
  • 파워포인트파일 경제학원론 - 제 4장 소비자의 선택
    Px MUx Py = MUx MUy Px Py = 소비자의 최적선택 각 상품에 지출한 돈 마지막 1원당의 한계효용이 서로 같아지도록 선택함으로써 효용이 극대화됨을 의미 수학에서 극대화의 ... 20 d 2 13 c 4 7 b 7 2 a 옷(벌) 쌀(Kg) 상품묶음 무차별곡선 그림 4-3 무차별곡선 무차별곡선 그림 4-4 무차별지도 (i) 현실의 지도 (ii) 선호를 나타내는 ... 8 9 1 9 0 0 한계효용(유틸) 총효용(유틸) 쌀 소비량(Kg) 소비자의 선호와 효용 총효용과 한계효용 그림 4-1 총효용곡선과 한계효용곡선 소비자의 선호와 효용 총효용 (total
    리포트 | 28페이지 | 3,000원 | 등록일 2013.03.08
  • 파워포인트파일 ATmega128, LM35f를 이용하여 온도계 만들기와 Fan작동 시키기
    기준전압 2.56V 를 사용 7 6 5 4 3 2 1 0 REFS1 REFS0 ADLAR MUX4 MUX3 MUX2 MUX1 MUX0 * AVCC 가 연결되어 있고 캐패시터가 연결된 ... 상태라 01 을 사용 MUX4~0 입력 MUX4~0 입력 00000 ADC0 00100 ADC4 00001 ADC1 00101 ADC5 00010 ADC2 00110 ADC6 00011 ... ADPS0 ADPS2 ADPS1 ADPS0 분주비 0 0 0 2 0 0 1 2 0 1 0 4 0 1 1 8 1 0 0 16 1 0 1 32 1 1 0 64 1 1 1 128 레지스터
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.17
  • 워드파일 비동기 제어 신호를 갖는 마스터 슬레이브 dff (스위치 모델)
    D rising D 0 1 X X 1 1 0 X X 0 0 0 X X 0 목차 Latch_m (switch 레벨 소스) Latch_s_a (switch 레벨 소스) Mux_n (switch ... // parameter pd =57; supply1 vcc; supply0 gnd; nmos #pd2 T1(n,d,en); mux_n M1 (q,gnd,out0,clrn); mux_n ... M2 (out0,vcc,n,prn); pmos #pd1 T3(n,q,en); endmodule `timescale 1ps/1ps module mux_n ( output out, input
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.10
  • 한글파일 실험4) UART & A/D컨버터 실습 11, 12, 13
    flag 클리어 소스코드 소스코드 해석 ADMUX = 0xC0; REFS(1:0) = “11” ADLAR = ‘0’ MUX(4:0) = “00000” ADCSR = 0xA6; ADFR ... = ‘1’ ADPS(2:0) = “110” ADEN = ‘1’ ADCSR |= 0x40; sei(); while(1){ print_FND(C0, adcValue%10); _delay_ms ... ; Break; case C2: PORTG &= 0xF0; PORTG |= 0x0D; break; case C1: PORTG &= 0xF0; PORTG |= 0x0B; break;
    리포트 | 11페이지 | 1,500원 | 등록일 2015.11.12
  • 한글파일 전기전자기초실험 Chapter 8 Combination Logic Circuit DesignReport
    Cascading two of 4x1 MUX and one 2x1 MUX is the one, and cascading one of 4x1 MUX and four of 2x1 MUX ... In second case, four 2x1 MUX uses same control input, C. ... of the 8x1 MUX A B C Z 0 0 0 I0 0 0 1 I1 0 1 0 I2 0 1 1 I3 1 0 0 I4 1 0 1 I5 1 1 0 I6 1 1 1 I7 ② Design
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.18
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차결과
    조합회로의 예로는 And gate, Adder, Mux 등이 있다. 다. 감산기 4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. ... = 0 X = 0 y = 0 b0 = 0 d = 0 b1 = 0 X = 1 y = 1 b0 = 1 d = 1 b1 = 1 X = 0 y = 0 b0 = 0 d = 0 b1 = 0 2 ... , EQ0, AGB0, ALB0); comparator u2(A[1], B[1], EQ1, AGB1, ALB1); comparator u3(A[2], B[2], EQ2, AGB2,
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 결과보고서 실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer)
    입력 출력 E S1 S0 D3 D2 D1 D0 Y +5V X X X X X X Low 0 0 0 +5 +5 +5 0 Low 0 0 0 0 0 0 +5 High 0 0 +5 +5 +5 ... 입력 출력 E S1 S0 D3 D2 D1 D0 Y +5V X X X X X X Low 0 0 0 +5 +5 +5 0 Low 0 0 0 0 0 0 +5 High 0 0 +5 +5 +5 ... 실험 2. 디멀티플렉서 (1) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차예비
    endmodule 구현 회로 코딩 simulation x=0 y=0 b0=1 d=0 b1=1 x=1 y=0 b0=1 d=1 b1=1 x=0 y=1 b0=1 d=1 b1=0 x=1 y ... 조합회로의 예로는 And gate, Adder, Mux 등이 있다. 다. 감산기 4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. ... 코딩(text) module fourbit_sub_a(x, y, d, b); input [3:0] x, y; output [3:0] d; output b; assign {b, d}
    리포트 | 16페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 논리예비4 Multiplexer & Demultiplexer
    아래의 표와 같이 입력 S0, S1에 따라 멀티플렉싱 기능이 이루어지는지 확인한다. (2) 4x1 멀티플렉서 IC인 74HC153울 이용하여 다음 회로를 구성한다. ... 실험 방법 (예상 결과) (1) Enable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 또한 (1)의 결과와 같은지 확인한다. .(3) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음회로와 같이 구성한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • 한글파일 마이컴ADC_USART
    MUX3 MUX2 MUX1 MUX0 Read/Write R/W R/W R/W R/W R/W R/W R/W R/W Initial Value 0 0 0 0 0 0 0 0 - Bit 7 ... 송신기 활성화 UCSRC = 0x06;// 0b000001100 // 1~2번 비트 1. ... 속도 2배로 사용 안함 UCSRB = 0x18;// 0b00011000 // 4번비트 1. 수신기 활성화 // 3번비트 1.
    리포트 | 14페이지 | 3,000원 | 등록일 2012.11.30
  • 한글파일 가변저항 값 LCD에 디스플레이 결과 보고서
    SRRW2는 상태 레지스터를 가르키며, MUX의 값은 #define SEL_AD_MUX 0x07 로, 라이브러리에 저장 되어 있습니다. ... SRRW2는 초기화 되지 않은 상태로 생각하고, ~SEL_AD_MUX는 0x07의 값에 NOT을 취해준 값으로, 표현하면 “1111 1000”입니다. ... &= ~SEL_AD_MUX; SRRW2 |= SEL_RV; } 상태 레지스터 값을 설정해 주기 위한 함수 입니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기