• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,589)
  • 리포트(10,417)
  • 자기소개서(916)
  • 시험자료(557)
  • 방송통신대(316)
  • 논문(240)
  • 서식(107)
  • ppt테마(28)
  • 이력서(3)
  • 기업보고서(3)
  • 노하우(2)

"코드 설계" 검색결과 201-220 / 12,589건

  • 한글파일 [A+] 중앙대 아날로그 및 디지털 회로설계실습 Delay Loop Routine을 이용한 LED 점등 설계실습 예비보고서
    코드 첫 부분을 살펴보면 .nolist .list .cseg .org0x0000 ....... ... 과목명 아날로그 및 디지털 회로 설계 실습 담당교수 학과 전자전기공학부 조 학번 작성자 실습일 제출일 설계실습 1. ... Delay Loop Routine을 이용한 LED 점등 설계실습 1-1.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 워드파일 업다운 카운터 verilog 설계
    실습 내용 실습결과 Verilog설계 -BCD 동기식 카운터의 상태도 - BCD 가산기의 Verilog 코드 기술 counter.v tb_counter.v module counter ... (clk,reset,up_down,cnt_out); input clk,reset,up_down; output[3:0] cnt_out; parameter S0=4'b0000, S1=4 ... 제목 동기식 BCD 카운터 설계 실습 목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 명지대학교 산업경영공학과 스마트 생산과 자동화 7주차 강의내용
    설계코드작성 모두 컴퓨터가 한다. ? ... ④ F code : 이송속도(mm/min) 혹은 이송율(mm/rev) ⑤ S code : 주축속도(rpm) ⑥ T code : 공구선택 (공구의 ID 호출) ⑦ M code : 보조 ... )의 기능] ① N code : 작업 단계 번호 ② G code : 준비 명령 (어떻게 이동?)
    리포트 | 4페이지 | 2,000원 | 등록일 2023.10.10
  • 워드파일 [예비보고서] 7.논리함수와 게이트
    Thermometer code는 Least N bit는 1을, 이외의 bits는 0을 가지는 코드이다. ... 즉, 2x4 Binary to Thermometer Decoder란 입력으로 들어온 2 bits Binary code를 앞서 정의한 4 bits Thermometer code로 변환하는 ... code를 이용하여 Boolean expression으로 표현된 logic function을 찾으면 다음과 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 인간공학적 설계 요소가 반영된 제품 연구
    -목차- Ⅰ.서론 Ⅱ.본론 1.인간공학적 디자인 2.인간공학적 설계가 반영된 제품 1)로지텍 K860 키보드 2)로지텍 LIFT 인체공학 버티컬 마우스 3)LG전자 코드제로 A9 Ⅲ ... /ko-kr/products/mice/lift-vertical-ergonomic-mouse.910-006484.html 김지석, LG 코드제로 A9, 인체공학 설계로 오래 청소해도 ... 연장관의 길이는 90cm부터 120cm까지 4단계로 조절할 수 있다. 그래서 키가 작은 아이부터 성인까지 편안한 자세로 청소를 할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.02.24
  • 워드파일 전감산기 verilog 설계
    Schematic 설계 1. Schematic 설계 회로를 나타내라. Verilog, VHLD설계 1.전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. ... 전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 제목 전감산기 설계 실습 목적 전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 빌림수를 고려해야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    code simulation waveform T FF module code testbench code simulation waveform 6. ... Vivado Simulation Result SR Latch module code testbench code simulation waveform D FF module code testbench ... HDL의 시뮬레이터는 디지털 기기의 실제 클럭과 유사한 리셋 가능한 클럭을 유지하고 설계자가 코드를 디버그하기 위해 특정 시간 동안의 다양한 레지스터의 값들을 볼 수 있도록 해준다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 클럭분주회로설계 verilog 설계
    클럭 분주회로를 verilog로 설계코드 ClockDivider.v tb_ClockDivider.v module ClockDivider(clk,rst); input clk, rst ... 실습 내용 실습결과 Verilog, VHLD설계 1. ... 클럭을 분주하는 방법은 다양하지만, 이번 실습에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 천이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 .NET Developer(.NET 개발자)국내 및 외국계 취업 및 이직 합격을 부르는 영문이력서 및 자소서 핵심 문장(한국어 포함)
    Wrote code on [Type] platform using [Software]. [Software]를 사용하여 [Type] 플랫폼에 코드를 작성했습니다. ... Authored code fixes and enhancements for inclusion in future code releases and patches. ... 향후 코드 릴리스 및 패치에 포함시키기 위해 작성된 코드 수정 및 개선 사항을 저술 했습니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2021.12.14
  • 한글파일 자료구조 - 알고리즘 요약 및 소스 분석, 코드 개선 과제
    복잡한 알고리즘의 경우, 상당히 복잡해짐 1-2 분석&설계(역공학) - 디버깅내용(손분석, 화면캡쳐) 분석내용1 calc_scores.c MAX_ELEMENTS를 매크로 100으로 ... 일치하는 값이 없으면 1 반환 1-3 구현&개선(순공학) - 개선코드(구현된 코드를 개선한 코드를 구현하고 주석달기) 소스 코드 main 1. ... 복잡도 분석 [시간, 공간복잡도] 알고리즘의 표현 유사코드로 표현된 알고리즘 1.
    리포트 | 7페이지 | 2,000원 | 등록일 2020.09.01 | 수정일 2020.09.02
  • 워드파일 우선순위 인코더 verilog 설계
    1.우선순위 인코더를Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. ... 제목 인코더 설계 실습 목적 및 배경 인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다. ... 따라서 이번 실습에서는 두 개 이상의 입력이 ‘1’ 일 때 우선순위에 의해 하나의 입력에 대한 인코딩 결과를 출력하는 우선순위 인코더를 설계해본다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 파일확장자 C언어로 구현한 KMP 알고리즘
    설계- 스트링 안에 원하는 패턴을 찾는 가장 단순한 방법은 한 칸씩 대입하며 틀린 경우 1칸을 이동하여 다시 패턴의 처음부터 비교하는 경우입니다. ... )이 됩니다.- 본 과제에서는 같은 작업에 대해 복잡도를 O(n+m)까지 줄일 수 있는 KMP 알고리즘 (KnuthMorris-Pratt Algorithm)을 강의자료에서 소개된 코드
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.15
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    Source code Testbench (6) 다음 코드를 보고 회로 분석을 수행하시오. (7) 다음 코드를 보고 회로 분석을 수행하시오. - input은 A, B이고 output은 ... Source code Testbench e. [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오. Source code Testbench f. ... [실습 1] 2:4 Decoder를 설계하시오. Source code Testbench b.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 ring counter, jhonson counter 결과레포트
    고찰 이번 실험은 nexy4 board과 verilog code를 사용하여 ring counter, jhonson counter를 설계하고 실제로 board에 나타나는 결과를 확인하는 ... 0100그림 5 ringcounter 1000 -jhonsoncounter code, testbench, simulation 그림 7 jhonson 0001그림 8 jhonson ... 실험 결과 -ringcounter code, testbench, simulation 그림 2 ringcounter 0001그림 3 ringcounter 0010 그림 4 ringcounter
    리포트 | 4페이지 | 2,000원 | 등록일 2022.08.22
  • 파일확장자 C언어로 구현한 Binary Search Tree
    설계- 이번 과제는 이진 트리의 대표적인 한 형태인 이진 탐색 트리를 구성하는 함수들을 구현하는 것이었습니다. ... (input.txt의 내용)(코드를 실행한 결과)4. 자료구조 및 알고리즘 분석#define IS_FULL(node) !
    리포트 | 10페이지 | 1,000원 | 등록일 2021.03.15
  • 파일확장자 C언어로 구현한 Infix to Postfix
    설계- 이번 과제는 주어진 텍스트 파일에서 Infix 방식으로 표기된 수식을 읽어 들인 후, Postfix로 출력하는 과제였습니다. ... 두 가지 알고리즘이 각각 강의자료의 다른 챕터 상에 존재했으므로 이를 여러 번 읽어본 후 알맞게 코드 상에 적용하여 구현할 수 있었습니다.3. ... 값은 미리 열거형으로 선언한 precedence 타입의 멤버 변수가 되도록 하였습니다.expr은 rExpr() 함수를 통해 expr.txt에서 읽어들인 Infix 수식이 저장될 char
    리포트 | 11페이지 | 1,000원 | 등록일 2021.03.15
  • 한글파일 졸업작품 결과보고서
    개념설계 흐름도 다) 라즈베리파이 파이썬 코드 [파이썬 코드] import cv2 import numpy as np import time import os import math import ... 상세설계 (30) 계획에 명시된 바대로 결과물과 일치하는가? 각각의 practical constraints에 기술된 사항을 만족하는가? ... DC모터 스펙(24V) 나) 개념설계 및 상세설계 그림4-8.
    리포트 | 22페이지 | 3,000원 | 등록일 2020.07.05
  • 한글파일 자료구조 - 배열, 구조체, 포인터 요약 및 소스 분석, 코드 개선 과제
    할당 (1) 프로그램의 실행 도중에 메모리를 할당 받는 것 (2) 필요한 만큼만 할당을 받고 또 필요한 때에 사용하고 반납 (3) 메모리를 매우 효율적으로 사용 가능 1-2 분석&설계 ... (구현된 코드를 개선한 코드를 구현하고 주석달기) ■ 소스 코드 전치행렬을 출력하는 코드와 동적할당 코드를 보며, 배열에 동적할당을 하여, 직접 배열값을 입력하고, 각 항에 해당하는 ... malloc2.c : 동적 메모리 사용 및 반납 코드5. studentTag 구조체 생성 13. 구조체 포인터 선언 15. 메모리 할당 21.
    리포트 | 7페이지 | 2,000원 | 등록일 2020.09.01
  • 파일확장자 아주대 기구학 캠프로젝트 !! 매틀랩 코드, 엑셀 포함!!
    문제 정의아래 그림과 같은 캠 구동 Mechanism에서, 캠이 회전함에 따라, 거리 () 가 그림에서와 같이 주어진 운동을 하도록 캠을 설계하려한다. ... 캠의 회전각도에 따른 변위()와 속도()를 코드로 함수(Get_Disp2)를 만들어 구하였다. ... 이를 캠곡면의 좌표(x, y)를 구하는 매틀랩코드로 나타내었고 간격으로 캠곡면 좌표와 관련 그래프를 도출하였다.
    시험자료 | 20페이지 | 6,000원 | 등록일 2021.12.27
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    사용 code Combo box실험결과 (2) 실습2 설계 조건 Design counter with Piezo 동작 검사 1.TOP module 설정 2. ... 같은 선언부 및 변수를 가지는 모듈 설계 조건 code pin설정 Combo box 실험결과 (5) 실습5 8-bit 2’s complement signed binary 입력을 받아서 ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드 code (3) 실습3 0000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업