• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,589)
  • 리포트(10,417)
  • 자기소개서(916)
  • 시험자료(557)
  • 방송통신대(316)
  • 논문(240)
  • 서식(107)
  • ppt테마(28)
  • 이력서(3)
  • 기업보고서(3)
  • 노하우(2)

"코드 설계" 검색결과 161-180 / 12,589건

  • 한글파일 자료구조 - 우선순위 큐 요약 및 소스 분석, 코드 개선 과제
    "%d", codes[i])-> 배열 출력 #102 print_codes(TreeNode* root, int codes[], int top) :비트코드 생성 함수 if (root-> ... 확인 후 코드 저장 if (root->right) ->오른쪽 자식트리가 존재할 때 codes[top] = 0; ->0 저장 print_codes(root->right, codes, ... (codes, top); ->코드 출력 #125 huffman_tree(int freq[], char ch); ->삭제함수를 통해 히프요소 반환 x = make_tree(e1.ptree
    리포트 | 8페이지 | 2,000원 | 등록일 2020.09.01
  • 파워포인트파일 아두이노, 초음파센서 이용한 부저 동작
    설계 컨셉 2) S/W 설계 : Source code 3. ... 설계 컨셉 1) H/W : Block diagram 2) S/W : Algorithm, Source code 3. 결과 및 고찰 목차 1. ... 설계 컨셉 1) H/W 설계 : Block diagram 아두이노 Uno R3 HC-SR04 Vcc Trig Echo GND Speaker D12 GND 5V PWM 9 PWM 10
    리포트 | 8페이지 | 2,500원 | 등록일 2020.02.16
  • 워드파일 VHDL을 통해 구현한 Counter
    그리고 실습으로는 Counter을 설계해 본다. Counter는 gray code와 binary code를 count하는 두가지 방식으로 설계한다. ... 그림5. 4bit counter diagram 5)Gray code 2진수를 나타내는 방법중 하나로, 수의 크기가 변할 때, 인접한 수 사이에 한 자리만 변하게 만든 코드로, 일반적인 ... 10진수 Binary code Gray code 0 000 000 1 001 001 2 010 011 3 011 010 4 100 110 5 101 111 6 110 101 7 111
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 워드파일 맨체스터 라인 코드 베릴로그 구현
    목차 입출력 포트 개요 TX 설계 코드 RX 설계 코드 TX 검증 코드 RX 검증 코드 타이밍도 (작성자:탁OO 2023.10.22) 입출력 포트 개요 초기 정렬과정을 위해서 8’b011 ... 위의 기능을 구현하기 위한 로직 블록을 verilog로 설계 코드를 구현하고 그 동작을 확인하기 위해서 검증과정을 verilog로 구현한다. ... txd_in, output mon1, output reg txd ); reg TXON; reg txd_b; reg txd_s; reg txd_s1; reg txd_r; reg [3:0] cnt
    리포트 | 11페이지 | 3,500원 | 등록일 2023.10.22 | 수정일 2023.10.23
  • 한글파일 Random Process Simulation with MATLAB (PSD, auto-correlation)
    + {N _{o}} over {2}delta ( tau ) 2) 여기서 R _{S} ( tau )는 triangular 함수형태이다 다음을 한 개의 MATLAB® m-file로 coding하여라 ... 설계 결과 X(t)의 한 샘플함수를 만들고 그리기 xcorr함수를 이용해 auto-correlation 구현 PSD와 PSD를 IFFT하여 R_x_hat 구현 ... 설계방법 random binary sequence를 생성합니다. rand함수를 이용할 것이며 1x200행렬로 설정하겠습니다. rand()은 0~1사이의 임의의 숫자를 반환해주는 함수로
    리포트 | 8페이지 | 2,000원 | 등록일 2020.02.18
  • 워드파일 BCD가산기 verilog 설계
    실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 기술 BCD_ADDER tb_BCD_ADDER module BCD_ADDER(A,B,C,RESULT); ... 제목 BCD 가산기 설계 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 3:0] RESULT; BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT)); initial begin $dumpfile("tb_BCD_ADDER_out.vcd
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 파일확장자 추락낙하 사고 시 지면과의 충돌충격에 의하여 다양한 고준위폐기물 처분용기모델에 발생하는 응력에 대한 유한요소해석 비교연구
    element analysis computer code, NISA. ... dynamic analysis computer code, RecurDyn. ... 연구의 주된 내용 은 이와 같은 비교연구를 통하여 구조적으로 건전한 처분용기의 설계에 관한 것이다.
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 워드파일 기계시스템설계 과제2
    이를 MATLAB code로 나타내면 아래와 같고 다음의 그래프를 통해 local minimum과 local maximum을 찾을 수 있다. [3.20] MATLAB code [3.20 ... data: , , , , , , 문제의 Constraints는 설계변수를 , 이라 할 때 이고, 목적함수는 또, 문제에서 , 임이 주어져 있다. ... code and min 따라서 주어진 constraints를 만족하고 목적함수의 값이 최소인 경우는 (b, d)=(0.247, 0.494)임을 알 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2019.12.08
  • 한글파일 디지털시스템설계실습 전감산기 결과보고서
    전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. ① 논리조합회로를 이용 ② if~then~elsif~end if형식 2. ... 디지털시스템 설계 실습 2주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※전감산기 설계(진리표. 논리식. 동작표현) 1. 전감산기 연산은 다음과 같다. ... 설계하는 방법과 if-elsif를 이용해서 하는 등 다양한 방법을 통해서 설계하였다. if-elsif는 정수 뺄셈을 실행한 결과 최소 ?
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 Zero forcing equalizer 설계 with MATLAB
    위의 m행렬과 M행렬을 좌표로 표현하여 이중 for문을 이용해 대입하는 방법으로 코드를 구성하였습니다. ... MATLAB을 이용하여 Zero forcing equalizer를 설계하라. 1. ... 즉, 전송매질의 특성을 알아야 Equalizer를 통해 찌그러진 파형을 복구 할 수 있는 것이고 전송매질의 특성을 알아내는 것을 channel estimation이라고 합니다. channel
    리포트 | 8페이지 | 2,000원 | 등록일 2020.02.18
  • 한글파일 VHDL코드를 이용한 4비트 감가산기 구현
    설계 목적 - 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL code를 작성하여 설계한다. quartus 8.1를 이용하여 Digcom v3.2에 ... 기간 - 설계 배경 디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 ... VHDL code --4bit subadder-- library ieee; use ieee.std_logic_1164.all; package mydata is subtype adder_range
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 워드파일 한방에 끝내는 AI 비즈 모델 개발 방법론 - PBL(Poject Based Learing)로 배우는 AI 기반 비즈니스 모델 개발 실전 가이드북
    (No-code) 플랫폼을 이용한 프로토타이핑 7.1 노코드 플랫폼의 개념과 장점 7.2 노코드 플랫폼을 활용한 웹사이트 및 앱 개발 7.3 실제 노코드 프로젝트 실습 제8장 웹 디자인과 ... 사용자 경험(UX) 설계 8.1 웹 디자된 문제 해결에 주로 사용됩니다. ... 약인공지능(ANI): 개념과 차이점 2.4 생성형 인공지능(Generative AI)의 개념과 사례 제3장 프로젝트 기반 학습(PBL)의 구현 3.1 PBL의 설계 방법론 3.2 학습자
    노하우 | 45페이지 | 6,000원 | 등록일 2024.03.04
  • 워드파일 Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    HW 1 설계 코드와 주석 테스트벤치 코드 테스트벤치에서 초기값을 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다. ... HW 3 설계 코드와 주석 테스트벤치 코드 시뮬레이션 결과 고찰 HW 3은 Moore machine으로 설계하였다. ... HW 2 설계 코드와 주석 테스트벤치 코드 시뮬레이션 결과 고찰 Mealy machine은 이미 상태그래프가 주어져 있었기 때문에 그대로 코드로 옮기는 작업만 하면 되었다. state는
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 한글파일 전자회로실험 설계 예비보고서2 CMOS 증폭단 설계 CMOS Amplifier Circuit
    따라서, g_m = 7.2 times 10^-6 [1/OMEGA]이다. 2) 캐스코드 증폭단 설계 -이번 설계에서 사용할 캐스코드 증폭단 회로이다. ... 증폭단 회로 설계 a) 설계한 CMOS 증폭단을 구성한다. b) SPICE 시뮬레이션 결과를 참조하여 바이어스 전압, 전류와 저항을 인가한다. c) 설계 사양을 만족하는지 확인하고 ... 이고 current consumption은 모두 1mA보다 작다는 것을 만족한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.04
  • 한글파일 서울시립대학교(시립대) 전자전기컴퓨터설계실험2(전전설2) 파이널 프로젝트(Final Project)
    전자전기컴퓨터설계실험 2 (최기상 교수님) 파이널 프로젝트 1. 설계 목표 2. 코드 설명 3. 작동 모습 1. ... 코드 설명 module project (rst, clk, dipsw, button, lcd_e, lcd_rs, lcd_rw, piezo, lcd_data); input rst, clk ... cnt + 1; default : cnt = 0; endcase end end text LCD를 위한 코드이다. always @(posedge rst or posedge clk_100hz
    리포트 | 78페이지 | 1,500원 | 등록일 2023.11.12 | 수정일 2023.11.24
  • 워드파일 VHDL 신호등 구현
    간단 설계 시각화 코드 구현 entity에서 port들을 다음과 같이 구성해주었다. 1. 시간을 구할 clk, 2. 횡단보도 스위치를 뜻하는 input, 3. ... VHDL 신호등 구현 목차 개요 및 설계 코드 구현 결과 사진 힘들었던 점 개요 및 설계 -목표 : FSM을 사용하여 신호등을 구현해야 한다. ... 다음날 코드를 따라가며 문제를 찾을 수 있어서 다행이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • 한글파일 [논리설계] 연습문제 2장 풀이
    R REPORT 논리설계 연습문제 2장 풀이 본 레포트는 참고 자료입니다. 수정없이 제출하거나 판매 및 무단배포 등의 행위를 금합니다. ... ****1 G a t e SP 2 7 -> "Gate 27" (2) 11000111 01*************0 11100101 00100000 00110010 00110111 C7 ... , 10진수로 해석 (1) BCD 코드 8421, 8421, 8421로 계산 -> 7, 5, 9 = 759 (2) 3증수 코드 BCD코드에 0011 더하여 표현 = 426 (3) 84
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 워드파일 JAVA Developer(자바 개발자)국내 및 외국계 취업 및 이직 합격을 부르는 영문이력서 및 자소서 핵심 문장(한국어 포함)
    Reviewed code and debugged errors to improve performance. 코드 및 오류를 검토하여 성능을 개선했습니다. ... Developed robust Java code for [Type] applications. [Type] 응용 프로그램용 강력한 Java 코드를 개발했습니다. ... Determined coding requirements for site creation, e-commerce capability, security and specialized scripts
    자기소개서 | 5페이지 | 3,000원 | 등록일 2021.12.20
  • 워드파일 한화건설 플랜트 기계설계 자기소개서
    특히 ASME 코드를 기초로 한 배관의 설계 압력 계산과 열팽창에 의한 영향을 최소화 하기 위한 루프의 설계를 배우며 올바른 배관 설계의 중요성에 대해 알게 되었습니다. ... [기계설계: 플랜트의 시작과 끝] 플랜트 기기 설계는 플랜트의 시작과 끝이라고 할 수 있습니다. ... “플랜트교육을 통한 실무파악” 설계는 EPC Turn-key 뿐만 아니라 타당성 분석, FEED설계 개념도 포함합니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2020.12.26 | 수정일 2021.01.23
  • 한글파일 Form-Based Code 형태기반코드
    docId=931333&cid=43667&categoryId=43667 https://blog.naver.com/ebes0905/70158868119 www.transect.Org ... 그 수단으로서 형태기반코드 (Form-Based Code)를 제안하고 시험하여 도서설계 규제수법으로서 활발하게 확산되고 있다. ... Urban Design 도시설계 Form-Based Code 형태기반코드 Form Base Code 알아보기 CONTENTS 01 서론 1-1 대전도시기본 계획 _ 도시기본구상도 02
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업