• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,589)
  • 리포트(10,417)
  • 자기소개서(916)
  • 시험자료(557)
  • 방송통신대(316)
  • 논문(240)
  • 서식(107)
  • ppt테마(28)
  • 이력서(3)
  • 기업보고서(3)
  • 노하우(2)

"코드 설계" 검색결과 101-120 / 12,589건

  • 한글파일 PIC16F84A 잔상기 설계 (하드웨어 및 회로도, AVR 코드 첨부)
    코드해석 ※ COUNT1을 1씩 증가하며 전달인자에 대입하여 PORTA,B의 LED를 디스플레이 해준다. ... 메모리 타입 16C84는 EEPROM type이지만 16C84는 flash memory type으로서 데이터를 쓰고 지울 수 있다. program memory map resetvector가 ... 설계하고자 하는 잔상기는 내부클럭을 사용하므로 따로 발진기를 연결하지 않았다. 3.
    리포트 | 7페이지 | 1,500원 | 등록일 2015.01.27
  • 한글파일 설계 및 제작과제 2 (배리컷, NC코드)
    AutoCAD 설계도면 VERICUT VIEW NC Code % O3377 G80G40G49 T1 M6 G0G90G54X-10.Y-10. G43Z50.H01 S1000M3 Z3.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.16
  • 한글파일 코드의종류 시스템분석및설계
    기계처리가 어려워진다. 4) 적용분야 대상 범위가 넓은 경우에 적용한다 적 요 코 드 서울대학교 경남대학교 공과대학 컴퓨터공학부 컴퓨터공학 시스템분석및설계 응용프로그래밍설계 ... 순차 코드 (sequence code) 1) 정의 순차코드는 어떤 코드 대상에 대하여 순차적으로 코드를 부여하는 방법으로 일 련번호 코드라 하며 대표적인 식별코드이다. ... 약호 코드 (mnemonic code) 1) 정의 약호코드코드화 대상 항목 명칭과 관계가 있는 문자나 숫자 등을 조합하여 표현하는 코드를 말한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.01.08
  • 한글파일 [시스템 분석 및 설계] 코드의 종류
    (decimal code) - 많은 경우에 하나의 코드 내용이 왼쪽과 오른쪽 부분으로 분리되어 일정한 의미를 가지도록 설계된다. ... digit)이나 해밍 코드(hamming code)와 같은 것들이 있다. □ 합성코드(combined code) - 여러 가지 코드 원리를 두 가지 이상 조합시켜 코드화 대상을 나타내는 ... Batch Binary Bit □ 에러 검출 코드(error detecting code) - 코드 자체에 에러 포함 여부를 체크해 낼 수 있는 코드이다. ex> 체크 디짓(check
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.30
  • 파일확장자 파이썬 python 그대로 출력,역으로 출력, 순서대로 정렬, 사다리꼴의 밑변, 윗변, 높이, 여러종류의 소스코드설계, 고찰 등 레포트 포함
    설계 내용 ‘sort’ 리스트를 정렬하고 ‘reverse’ 리스트의 순서를 바꿉니다. 3개이상의 문자를 입력하지 않으면 3개이상의 문자를 입력하라는 메시지가 뜹니다. 3개 이상의 문자를 ... 또, python 에서는 # 이나 ‘’’ ~ ‘’’ 이나 “”” ~ “”” 을 사용하여 주석을 달 수 있다는 것을 알게 되었습니다. python 의 # 은 C++에서 // 과 같고 ... 그 줄에 써있는 것들이 주석처리 되며, python 의 ‘’’ ~ ‘’’ 이나 “”” ~ “”” 은 C++에서 /* ~ */ 과 같고 이 사이에 있는 것들이 주석처리 된다는 것을 알게
    리포트 | 10페이지 | 2,500원 | 등록일 2018.03.05
  • 파일확장자 [보고서+소스코드] 아주대 프로그래밍기초 2차 프로젝트 성적 처리 프로그램 설계
    이를 통해 기본적인 수준에서 한 단계 도약할 수 있는 C프로그래밍 설계 능력의 향상을 기대할 수 있다. ● 문제 정의[문제] 성적 처리 프로그램※ 문제의 조건학생들의 시험 점수를 통계 ... ● 목적 이번 설계는 학생들의 시험 점수를 통계 처리하는 프로그램을 작성하는 설계로써 한 학급은 최대 30명까지의 학생들로 이루어지고 각 학생들은 4번의 시험을 치르게 된다. ... 이러한 다양한 기능이 단순히 적은 양의 데이터에서만 이루어 지지 않고 다소 복잡하고 방대한 데이터에 대한 기능을 수행하도록 프로그램을 설계해야 한다.
    리포트 | 27페이지 | 3,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • 파일확장자 [보고서+소스코드] 아주대 프로그래밍기초 1차 프로젝트 숫자 계산 프로그램 설계
    ● 목적 이번 설계는 다섯 개의 숫자를 키보드로 입력받아 최소값, 최대값, 합, 평균을 구하고 프로그램을 종료시킬 수 있는 기능을 가진 숫자 계산 프로그램을 C언어를 이용하여 설계하여 ... 이를 통해 기본적인 C프로그래밍 설계 능력의 향상을 기대할 수 있다. ● 문제 정의[문제] 숫자 계산 프로그램 ⦁ 다섯 개의 숫자를 입력받아 다음과 같은 기능을 제공하는 프로그램을 ... 지금까지 배운 C언어의 변수, 상수, 입출력 함수 등의 기본적인 개념을 확실히 이해하고 수식과 연산자, 조건문, 반복문등의 기능을 적절하게 이용하여 효율적이고 안정적인 프로그램을 설계해보도록
    리포트 | 22페이지 | 3,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • 파워포인트파일 시분설 -제7장 파일과 코드 설계
    제7장 파일과 코드 설계 차 례 파일의 개요 파일의 속성 파일 매체의 설계 파일의 편성 방법 파일의 설계 순서 코드 설계 파일의 개요 (계속) 데이터 저장 계층 보조기억장치의 파일에 ... 설계 코드 설계의 개요 코드(Code)란 컴퓨터로 처리할 때 데이터의 분하는 규격, 명세 정보를 코드에 포함 무게, 부피, 면적, 성능, 치수 등과 같은 구체적인 숫자를 포함 X ... + 순서코드) 입사순서 + 성별 (구분코드 + 끝자리 코드) 코드 설계 (계속) 코드 종류별 특징과 응용 분야 도서 분류 자릿수가 길다 기계 처리가 불편 추가하기 쉬움 무한대로 확장가능
    리포트 | 58페이지 | 무료 | 등록일 2010.05.23
  • 한글파일 Modified Delta Modulation, New M-ary Modulation 설계 (코드포함)
    디지털 통신 설계과제 2. Modified Delta Modulation 3. ... number of circle? ... ) second inner circle : radius=4a, degree=36 (cause, 360 degree / 10 signal) a= - 일반화 m-th signal *
    리포트 | 16페이지 | 5,000원 | 등록일 2010.11.05 | 수정일 2024.01.04
  • 워드파일 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    변환하는 것은 A,B,C,D,E,F와 같은 16진수를 0~9까지는 그대로 출력하고 A~F는 마지막 두 비트에서 10을 빼서 BCD코드로 변환하는 실습이었다. ... 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계하기 였다. ... 통신회로 및 실습 과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계 정보통신공학과 2010160101
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • 워드파일 전자회로실험및설계 : 개인 프로젝트 결과보고서 및 소스 코드
    프로젝트를 진행하는 데 있어 비대한 소스 코드로 프로그램 동작이 느려 스위치 반응 속도가 느린 감} } ... 한 학기 동안 배운 내용을 살펴보니, MCU 보드를 제작했던 기간을 빼고, 소스 코드를 작성하면서 배운 내용을 정리해보면 크게 1) LED ON/OFF 제어, 2) 스위치 제어, 3 ... . %25는 임의로 적은 것이고, count에 따라 k가 바뀌므로 카운터에서 두더지 게임 모듈로 진입할 때의 count 숫자가 다르면 다른 k가 만들어질 것이다.
    리포트 | 39페이지 | 2,000원 | 등록일 2011.12.28
  • 파일확장자 [보고서+소스코드]아주대 통신시스템 프로젝트1 매트랩을 이용한 AM modulation & demodulation 설계
    *c;[M,m,df1]=fftseq(m,ts,df);M=M/fs;[U,u,df1]=fftseq(u,ts,df);U=U/fs;[C,c,df1]=fftseq(c,ts,df);[Y,y,df1 ... *c;y=u. ... cos(2*pi*fc*t);u=m.
    리포트 | 22페이지 | 2,000원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • 파일확장자 [보고서+소스코드]아주대 통신시스템 프로젝트2 매트랩을 이용한 FM modulation & demodulation 설계
    → 위의 소스코드는 주어진 예제에서 =0.5,=100Hz,=200로 바꾸고 나머지는 동일한 조건으로 FM modulation을 수행하도록 Matlab 코딩한 소스코드이다. ... → 이번 설계는 지난번 AM변조방식의 통신방법에 이어 FM변조방식을 통해 메시지 신호를 모듈레이션 하고 다시 디모듈레이션 하여 원래의 메시지 신호와 비교해보는 것이었다. ... 변조 과정에서 가장 중요한 푸리에 트랜스폼은 실제 손으로 풀때는 연속적인 신호라 생각할 수 있기에 적분을 통한 푸리에 트랜스폼으로 수행했지만 이번 설계에서는 컴퓨터를 이용하여 변조과정을
    리포트 | 12페이지 | 3,000원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • 한글파일 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드설계 결과보고서
    구성하는 기본 설정 (1)설계 사항 -Binary/Gray code설계한다. ... - gray code일 때 -- ((지금은 두 상태가 같지만 001일 때의 다음 상태는 010과 011로 달라집니다)) end if; 위와 같은 방식으로 나머지 코드설계해보면, ... 코드설계한다. 2.
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 파일확장자 [Flowrian] Hamming 코드 기반 Error Detection/Correction 회로의 Verilog 설계 및 시뮬레이션 검증
    Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다. ... 프로세서가 메모리로 데이터를 전송하는 경우는 16 비트 데이터에 6 비트의 해밍 코드를 추가하여 22 비트의 데이터를 메모리로 전송한다.
    리포트 | 10페이지 | 2,500원 | 등록일 2011.10.29
  • 파일확장자 [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    이렇게 하면 코드가 간결해 지고 반복이 줄어들며 가독성이 좋아질 것이다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 이러한 과정에서 기본적으로 주어진 top_traffic, clock_divier, interval_counter 와 같은 신호등 컨트롤러 소스를 분석하고 이해하여 추가적으로 제시된
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 파일확장자 carry select adder(CSA), ripple carry adder(RCA) 의 설계, delay, area 비교 [verilog]code 포함
    carry select adder(CSA), ripple carry adder(RCA) 의 설계, delay, area 비교 각각의 설계 방식에 따란 덧셈기의 속도 비교
    리포트 | 13페이지 | 1,500원 | 등록일 2010.08.02
  • 파일확장자 Verilog 및 Quartus ll를 이용한 논리회로 설계/택시미터기 구현/소스코드/설명서/최종 PPT
    ●택시미터기 상태를 결정 하는 SWITCH가 3가지 있다. ●DIP SWITCH1번, DIP SWITCH2번과 DIP SWITCH3번을 사용한다. (S11/3번째 줄 DIPSWITCH)●SWITCH에 따른 상태를 표로 나타냈다.
    리포트 | 1페이지 | 4,000원 | 등록일 2013.11.06 | 수정일 2014.06.24
  • 한글파일 [논리설계] 한글형코드와 유니코드
    이는 제4상한에서 모자란 글자를 제3상한을 이용해 확장한 코드체계로 2수준 한글이라고 한다. 4) 2 바이트 완성형 한글(KS 완성형) · KS C5601의 일부이다. ... 체계와 국제 규격의 문제 · ASCII codeset 사용시 0에서 127까지만 있어도 프로그램 제작에 별 지장이 없고, 나머지 128에서 255 까지는 그래픽 문자코드에 해당된다 ... 그러나 ISO-202에서 허용하는 제4상한선의 제약으로 한글표현의 제한된 표현을 보완코자 두 개의 확 장 세트안을 KS C5657로 만들어 발표한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2003.03.31
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업