• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,589)
  • 리포트(10,417)
  • 자기소개서(916)
  • 시험자료(557)
  • 방송통신대(316)
  • 논문(240)
  • 서식(107)
  • ppt테마(28)
  • 이력서(3)
  • 기업보고서(3)
  • 노하우(2)

"코드 설계" 검색결과 181-200 / 12,589건

  • 파일확장자 강체간의 충돌에 의한 충격력에 대한 수학적 정해 및 고준위폐기물 처분용기의 지면 추락낙하사고 시의 충돌충격에의 응용: 적용(수치해석)
    computer code for the rigid body dynamic analysis. ... 이를 통하여 고준위폐기물 처분용기의 구조안전성 설계과정에서 요구되는 처분용기 처분 시 사고로 추락낙하 하여 지면과 충돌하는 경우 처분용기에 가해지는 충격력을 수치적으로 구하였다. ... 수치해석 연구의 주된 내용은 기구동역학해석 상용 컴퓨터코드를 이용하여 처분장에서 운반차량으로 처분용기 운반중 사고로 추락낙하 하여 지면과의 충돌 시에 처분용기에 가해지는 충격력을 구하는
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 ROM&RAM 설계
    이론적 배경 ROM 설계-----------------------------------------------------p.8 이론적 배경 VHDL를 이용한 코드 출력 결과 RAM ... 설계 ---------------------------------------------------p.11 이론적 배경 VHDL를 이용한 코드 출력 결과 고찰-------------- ... 나눌 수 있다. signal은 VHDL 합성 시에 선으로 구현 가능한 전역 변수이고, variable은 연산의 단계에서 이용되는 지역 변수이다. constant는 상수를 나타낸다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 데이터베이스 개발자(Database Developer)국내 및 외국계 취업 및 이직 합격을 부르는 영문이력서 및 자소서 핵심 문장(한국어 포함)
    Developed code collaboratively with Agile squads to produce [Result]. ... Maintained quality assurance through code testing and cross-browser testing. ... 새로운 설계와 기존 설계에 걸쳐 웹 구성요소를 설계하고 구현 했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.12.15
  • 파워포인트파일 전기및디지털회로실험 최종설계(배터리잔량테스터)
    배터리 잔량 테스터 표시계 1 2 #1 #2 #3 #4 상세설계 - 상세설계 블록도 설계 과정 방전 데이터 수집 코드 블록도 회로도 작성 결선 동작확인 및 납땜 결과시연 - 완성품 ... 상세 설계 상세설계 블록도 설계 과정 방전 데이터 수집 코드 블록도 회로도 작성 결선 동작확인 및 납땜 3 상세설계 블록도 4 각 전압분배회로에 건전지 삽입 인코더 NOT 디코더 NOT ... 설계 목표 설정 설계 목표와 자료조사 설계제안서 작성 2.
    리포트 | 22페이지 | 2,000원 | 등록일 2024.04.09
  • 파일확장자 C언어로 구현한 Sparse Matrix
    자료구조 및 알고리즘 분석row가 n이고 col이 m인 행렬이 가지는 이차원 배열의 표현은 반드시 n * m만큼의 공간을 차지한다. ... 설계- 이번 과제는 주어진 파일에서 각각 행렬에 대한 정보를 얻되, 이를 배열이 아닌 각각의 노드가 링크드 리스트의 노드 형태로 이어진, 0이 아닌 요소의 데이터만을 갖는 희소 행렬 ... 형태로 처리하는 코드를 구현하는 것이었습니다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.15
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    디바운싱 코드는 이러한 채터링 현상을 방지하며 D-FF의 출력 딜레이를 이용하여 설계한다. ... RoV-Lab3000의 경우에 대하여 4Mhz의 클록을 가지고 있다. clk_chat으로 신호를 채터링하고, 설정된 clk_chat으로 디바운싱 코드를 구현하였다. clk 코드 디바운싱코드에서 ... 또한 디바운싱 코드를 이용하여 채터링 현상을 방지해 정지, 리셋 스위치가 안정적으로 동작하도록 설계한다. 2.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 파일확장자 실수형 Genetic-Algorithm에 의한 최적 설계
    기존의 GA는 대부분 설계변수로 2진수형 코드를 사용하는데, 이는 실수형 설계변수로 구성된 최적화 문제를 해결하기 위해 컴퓨터 주 기억용량을 많이 사용하여야 하며, 계산 시간 면에서도 ... of the binary code GA, and concluded that the real code GA developed here can be used for the general ... The results of read code GA are compared with those of binary code GA for several single and multiple
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 fulladder_4의 내부 시그널 C로 객체들의 사이를 연결한다. 이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다. ... Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드 Testbench 코드 작성 테스트벤치 코드에서는 fulladder_4 파일에서 미리 ... 이는 단순히 2단 AND-OR게이트 회로로 나타낸 형태인 보다 2단계가 높아졌음을 알 수 있다. 4bit full adder의 설계와 구현 4bit full adder는 미리 설계해둔
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 한글파일 자료구조 - 순환 요약 및 소스 분석, 코드 개선 과제
    기법 정의자체가 순환적으로 되어 있는 경우에 적합한 방법 순환방법이 편한 프로그램에 한해서, 실제 수행속도가 상당히 줄어들음 순환방법이 편한 프로그램 팩토리얼 거듭제곱 1-2 분석&설계 ... 순공학) - 개선코드(구현된 코드를 개선한 코드를 구현하고 주석달기) 개선내용1 (개선된 코드에 주석을 달고 & 설명) 순환코드를 쓰는 가장 대표적인 이유는 짧은 수행시간과 시간복잡도이다 ... 분석내용3 power.c 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.09.01
  • 파일확장자 디지털 논리회로 Verilog 과제
    설계 코드에서만든 Fulladder_4bit의 객체 TEST를 만들어서 연결하였다. 포트 입력은 이름에 의한 결합으로 입력하였다. ... 최종 출력 sum 은 s1과 cin의 exclusive or 연산이고 Cout은 c2와 c1의 exclusive or 연산이다. ... 이전에 만들었던 1bit 전가산기를 호출시켜 전가산기 4개(A0, A1, A2, A3)를 wire c1, c2, c3로 연결하였다. A0의 Cout은 A1의 Cin이다.
    리포트 | 11페이지 | 3,000원 | 등록일 2019.06.26
  • 한글파일 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    보고서에 결과를 요약하라. 21-(1) : 실험22에서 다룰 것이지만 GRAY코드 동기카운터는 state machine 설계에 자주 사용된다. 6상태의 gray코드가 필요하다고 가정하자 ... 방법에 따라서 J-K플립플롭 기반으로 회로를 설계해보자. ( 0~6까지의 숫자를 나타내기 위해 3개의 비트를 저장할 3개의 플립플롭 C,B,A가 필요하다.) ... 일반적인 gray코드 시퀀스는 사용되지 않는다. 왜냐하면 6번째 상태가 다시 0상태로 되돌아 올 때 gray 성질을 잃기 때문이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 아날로그 입력 신호를 샘플링을 고려한 디지털 FIR 필터를 통하여 출력신호 변환
    matlab code in your report] 6. ... Plot the filter impulse response and its frequency response [write down the corresponding matlab code ... matlab code in your report] 입력 신호 x(t)를 Analog-Digital Converter이용 출력 신호 x[n]변환 - 먼저 이산 시간 영역의 x[n]를
    리포트 | 12페이지 | 5,000원 | 등록일 2020.11.15
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    실험 결과 module code testbench code simulation waveform 3. ... Verilog 언어를 이용한 Sequential Logic 설계 결과레포트 1. 실험 제목 1) Verilog 언어를 이용한 Sequential Logic 설계 2. ... 모듈 코드를 작성할 때 Flip-Flop의 Toggle 동작을 하강 에지에서 작동하도록 했는데, 테스트 벤치 코드에서 하강 에지와 입력 T가 변화하는 시각을 같게 설정하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 병렬-직렬 변환회로 verilog 설계
    병렬-직렬 변환회로를verilog로 설계코드 spConverter.v tb_spConverter.v module spConverter (clk,clear, si, qout); input ... clk,si,clear; output [7:0] qout; reg [7:0] din,qout; always @(posedge clk) if(clear) din ... 이 실습을 통해 시프트 레지스터의 동작과 이를 응용한 설계에 대해 알아본다. 실습 내용 실습결과 Verilog, VHLD설계 1.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 소프트웨어 엔지니어(Software Engineer) 국내/외국계 인턴 및 신입 취업 합격을 부르는 영문 이력서 및 자소서 핵심 문장 모음(한국어포함)
    다양한 프로젝트에 대해 깨끗하고 명확하며 잘 테스트된 코드를 작성했습니다. Produced clean, validation-ready code for project needs. ... Wrote clean, clear and well-tested code for various projects. ... Participated in code reviews and maintained high-quality standards throughout codebase.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2021.10.27 | 수정일 2021.12.14
  • 한글파일 서울시립대 전자전기설계2(전전설2) 6주차 결과보고서
    실습1 4비트 병렬 데이터 저장/전송 설계임의의 값을 테스트 벤치에 입력해본 결과 각각의 clk가 상승엣지일 때 값이 변하는 것을 알아볼 수 있었다. ... 위와 clk를 하나만 받는 형식으로 코드를 재작성하고 다음과 같이 핀을 할당했다. 그 결과 입력값을 넣으면 다음과 같이 한꺼번에 LED에 불이 들어왔다. ... 실습2 코드에 변화 주기실습2에서는 코드에 변화를 주어 동작이 어떻게 일어나는지 관찰하는 것이었다.
    리포트 | 14페이지 | 1,500원 | 등록일 2019.10.16
  • 워드파일 BCD code, 세븐 세그먼트에 대한 이론 및 회로
    관련 이론 (1) BCD code BCD는 Binary Coded Decimal의 약자로서 이진코드의 십진화를 의미한다. ... 실험 제목 BCD code, 세븐 세그먼트에 대한 이론 및 회로 2. ... 설계와 합성의 길잡이(sam ir Palnitkar 저) (3) Verilog HDL을 이용한 디지털 시스템 설계 및 실습(신경욱 저)
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 워드파일 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 Verilog UpDown Game 프로젝트 결과 보고서
    코드 module game(rst1, rst2, resetn, clk, LCD_E,LCD_RS,LCD_RW,LCD_DATA, key, player1, player2, seg_data ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 프로젝트 결과보고서 디지털 시스템 설계 및 실험 2019 전기전자공학부 이름 : 학번 : 제목 UP&DOWN ... 주제 및 목표 ① 비교 연산과 RAM을 이용하여 UP/DOWN 게임 설계한다. ② UP/DOWN GAME 이란 Player1이 입력한 숫자(정답)를 Player2가 맞추는 게임이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • 파일확장자 홍익대학교 마이크로프로세서 실험 및 설계 (실험4) Term project 보고서
    전원에 연결하자마자 바로 시계가 작동되도록 하기 위하여 메인 함수에 ‘default’ 구문을 삽입. default 기능은 switch 구문에서만 사용되는 명령문의 일종이며, 모든 코드와 ... (1) 설계 목적① 이때까지 실험4, 즉, 마이크로프로세서 실험 및 설계 과목에서 배웠던 내용들을 모두 다 종합하여 ATmega128의 기능을 구현시키는 마이크로프로세서 모듈을 이용 ... ∘타이머 기능을 기본적으로 탑재하되, 타이머/카운터 인터럽트를 이용하여 해당 범위 내에 도달할 때마다 숫자가 바뀌도록 설계.
    리포트 | 45페이지 | 6,000원 | 등록일 2023.09.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업