• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,620)
  • 리포트(1,372)
  • 논문(176)
  • 시험자료(49)
  • 자기소개서(15)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"signal processing" 검색결과 161-180 / 1,620건

  • 워드파일 천연물생리활성방법론
    Add 10ul volume of sample of Trolox (final conc. 2.5uM) Measure absorbance at 414nm to see decreased signal ... In the first step, preparation of cell lysates and adding the reaction mixture hysiological processes ... Further they leave the circulation at a potential secondary tumour site and this process is called extravasation
    시험자료 | 5페이지 | 3,000원 | 등록일 2021.09.21
  • 한글파일 Low pass filter, high pass filter 실험 보고서 및 MATLAB 코드
    cosine wave를 fft 돌렸을 때 이론적인 값인 delta function이 나타나는 것을 확인할 수 있었고, pass filter를 지나서는 wave에 rectangular signal이 ... Digital Signal Process 융합전자공학부 2014002742 김명훈 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.10.02
  • 워드파일 기계공학실험 동제어 제어 A+
    Block Diagram의 구성을 살펴보면, Input인 signal generator에서 발생한 각속도가 Summation으로 이어지며, 에 각각 곱해지는 것을 확인할 수 있다. ... Process of experiment 1) QUBE-Servo 2 DC Motor와 Labview를 연결해주고 Bump test Modeling의 파일을 열어준다. 2) 모터에 2V를 ... Process of experiment 1) QUBE-Servo 2 DC Motor와 Labview를 연결해주고 First Principles Modeling 파일을 연다. 2) 아래의
    리포트 | 10페이지 | 2,000원 | 등록일 2019.09.11 | 수정일 2019.09.20
  • 한글파일 초등임용 - 영어 기본이론 2장 정리
    circumlocution approximation literal translation word-coinage prefabricated patterns nonlinguistic signals ... ★ ② 하향식 정보처리 top-down processing ⇒ 배경지식을 활성화시키는지 point★ ③ 상호작용식 처리 (int 시각 어휘 sight words look and say ... (need analysis) Formative test (형성 평가) ⇒ 수업 과정(Lesson procedure) Summative test (총괄 평가) ⇒ product (↔process
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.11.06
  • 워드파일 미토콘드리아의 단백질 유입 메커니즘
    이러한 단백질은 presequence의 다음에 위치한 sorting signal에 의해 표적된다. mitochondria 막으로 수송될 단백질은 Tim이나 Tom 복합체를 통해 이동되는 ... 이어서 기질내에서는 MPP(matrix processing peptidase)가 작용한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.06.03 | 수정일 2020.06.04
  • 워드파일 운영체제 총 정리와 요약
    I/O 완료나 signal을 기다림. - 종료(terminat퍼 크기에 실질적인 한계가 없다. ... Processes 프로세스(Process)개념 - 실행중인 프로그램(program in execution) - 사용자 프로세스(user process) - 사용자가 만든 프로세스 - ... 시스템 프로세스(system process) - 운영체제의 프로세스 프로세스 상태(Process State) - 프로세스는 실행되면서 상태가 변한다. - 생성(new) : 프로세스가
    시험자료 | 14페이지 | 1,500원 | 등록일 2021.07.22
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    이때 스위치로 입력된 값을 16진수로 SEG에 출력되도록 설계하였는데, 입력된 키 값은 signal keyVal에 저장되며, case~when에 의해 keyVal에 저장된 값에 따라 ... 하지만 한 아키텍처에 두 가지 process문을 삽입한 경우는 처음 접했기 때문에 두 코드를 병합하여 하나의 코드로 설계하는 과정에서 많은 시간이 소요되었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 가톨릭대) 바이오의약품개론) 중간고사 5~8주차 분량 요약노트
    to noisy ratio↑ (= signal/noisy ↑) - Contrast↑ 5) detectors 카메라의 발전↑ 6) 자동으로 sample loading (Autoloading ... Superior optics 발전된 광학 3) 진공 Vaccum↑ : 진공상태일 때 빛이 일자로 내려오는게 더 잘 유지o 4) 대조 향상 Contrast enhancement ↑ = signal ... XRC 장점) ① Size 제한X ② 해상도↑(= 분해능) ③ 가격↓ ④ Image processing 모델링 쉬움 단점) ① 결정화 한계O : salt, 온도, pH 변화로 결정화되지
    시험자료 | 16페이지 | 3,000원 | 등록일 2021.06.17
  • 한글파일 인공지능에 대한 나의 생각 영작 + 한글번역문(영어,영작문,에세이,AI)
    And AI autonomous cars recogonize a roads and signals on their own, and drive safely to destination. ... In this process, the concept of labor and capital may change a lot.
    리포트 | 4페이지 | 3,000원 | 등록일 2021.10.26 | 수정일 2021.11.01
  • 파워포인트파일 생명공학의 응용 및 성공사례
    전자 및 기계 바이오센서 : 바이오센서란 생체감지물질 ( bloreceptor )과 신호변화기 (signal transducer)로 구성된 매우 작은 기기다 . ... 나노자원배수기술을 통한 폐기물 배출 없는 바이오처리 (Non-resource draining zero waste bio-processing ) 폐기물 스트림 (waste stream ... 해수를 이용한 바이오처리기술 (Sea-water based bio-processes) 해수에서 생존할 수 있는 헬리오픽박테리아를 빠르게 성장토록 만들어 화학물질 , 연료 , 고분자재료
    리포트 | 28페이지 | 3,000원 | 등록일 2022.11.10
  • 한글파일 netter 책 약간정리.
    Positive or MRI when more than one third of structure showed Increase signal Intensity 의자에 앉은 환자와 검사자에 ... Dignostic Utility of Assessing Pain with Palpation articulations were palpated 2cm lateral to the spinous process ... , neurologic deficits/ Glasgow Coma Score of 15, not intoxicated, not have distracting injury clinical
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.07
  • 워드파일 발생학 ( development of tetrapod limb) summary report (ENG ver)
    Anterior necrotic zones: shape the end of the limb Posterior necrotic zones: shape the end of the limb The signal ... It can be explained by pattern formation which is the set of processes by which embryonic cells form ... perichondrial cells make the shape of the digits Forming joint and bone growth BMPs are also the main signaling
    리포트 | 7페이지 | 2,000원 | 등록일 2020.08.15
  • 파일확장자 전달오차의 EEMD적용을 통한 기어 결함분류연구
    EEMD is applied to the residuals of the TE which are the difference between the normal and faulty signal ... The classification is carried out using the Bayes decision theory using the feature signals acquired ... well represented by the crest factors of the first and the third IMF, which are used as the feature signals
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 Localization of Autophagosome in Porcine Follicular Cumulus-oocyte Complex
    We also found ring shaped signal which represent autophagosome near oocyte membrane. ... As a result, LC3 signal was clearly detected in both cumulus and oocytes of various sizes of follicles ... Most of the signals in oocytes were localized nearby cellular membrane while evenly dispersed in cumulus
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 정신의학과 외래 dementia 환자 case report
    -소혈관 허헐성 질병은 몇 년에 걸쳐 백색질 안에 있던 소혈관이 막히게 되는데 이는 MRI에서 bright signal로 보인다. ... Thought Process: Relevant, coherent Contents: appropriate Suicidal risk: idea/plan/attempt (-/-/-) F.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.08.15
  • 워드파일 영듣말지 report - How have you learned listening and speaking in Korea
    In other words, students do not need to focus on catching a signal phrase or target expressions only ... would like to mention that I came to re slow and articulated conversation as a part of a preparation process
    리포트 | 3페이지 | 2,000원 | 등록일 2020.02.02
  • 워드파일 CFA 레벨1 Book 3 최종핵심 서브노트 (Corporate Finance & Equity Investment)
    asymmetric information Managers prefer to make financing choices that are least likely to send negative signals ... Generating investment ideas is a crucial step in the capital allocation process. ... Simply using WACC without adjusting it for project risk may lead to significant errors.
    시험자료 | 21페이지 | 4,000원 | 등록일 2023.06.14 | 수정일 2023.06.22
  • 파일확장자 개인화 프로세스를 적용한 실시간 감성인식 기술
    The designed individualization process was performed by a neutralization algorithm of physiological signals ... The physiological signals such as PPG(Photoplethysmography), GSR(Galvanic skin reflex), and SKT(Skin ... Therefore, in this study, we demonstrated that the individualization process was significantly useful
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 Synthesis, Electrochemistry and Luminescence of [Ru(bpy)3]2+
    또한 [Ru(bpy)3]2+의 형광, 즉 시각적 시그널은 전기화학적인 반응으로 인한 전자의 전달로 인해 발생하기 때문에 높은 감도와 낮은 back ground를 가지는 detection ... TRIANGLE G ^{0} =-nF DELTA E ^{0} (식 4) [Ru(bpy)3]2+는 강한 발광성을 가지고 있으며, [Ru(bpy)3]2+의 quenching process는 ... Cyclic voltammogram of solution A 두 번째로 전자 전달에 의한 quenching은 열역학적으로 downhill process일 때 효과적으로 일어 π*오비탈로
    리포트 | 9페이지 | 3,000원 | 등록일 2020.05.25
  • 워드파일 (영어) 기업재무 하버드 비즈니스 케이스 분석 "Linear Technologies"
    The first one is that paying dividends is a signal to shareholders, which means that the company is financially ... There would be no cash lost in the form of tax during the process of returning the cash to the shareholders
    리포트 | 3페이지 | 1,000원 | 등록일 2019.12.31
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업