• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(168)
  • 리포트(139)
  • 자기소개서(28)
  • 논문(1)

"VHDL 시계" 검색결과 1-20 / 168건

  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... Vhdl를 이용한 코드 . 출력 결과 1초 생성기 이론적 배경 디지털 시계를 만들기 위해 1초마다 클럭을 만들어 내는 1초 생성기가 필요하다. ... Vhdl를 이용한 코드 출력 결과 60진 카운터 이론적 배경 디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을 하도록 구성된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 VHDL실습 디지털 시계
    VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 ... 디지털시계의 전체구조는 다음과 같다. ... 다음과 같이 디지털시계를 설계하기 위해 각 기능별로 회로를 설계했다. 이것들을 이용해 본격적으로 디지털시계를 설계하는 과정을 설명해보려 한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL 디지털 시계
    1)디지털시계 ●목적 · MODE SWITCH의 동작에 대하여 공부한다. · Debounce 회로의 동작에 대하여 공부한다. · 시계 조정 회로의 동작에 대하여 공부한다. · Timebase ... 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... 신호를 발생하는 회로로 디지털시계의 시간 기준 신호를 발생시키는 회로이다. 1sec는 시계를 위한 기본 시간 신호이고, 0.01sec stopwatch를 위한 기본 시간 신호이다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 한글파일 VHDL-시계
    전자시계의 초기 상태는 일반 시계 모드로서 시간을 표시 1. ... 일반 시계 기능, stop watch 기능, 시간 조정 기능(시, 분 조정) ? Reset 스위치를 포함하여 5개의 스위치로 구성 ? ... 이때에도 시계는 정상 동작을 하도록 설계하였다. 4. Stop_watch 부분 time 부분과 크게 다른 부분은 없다.
    리포트 | 17페이지 | 1,000원 | 등록일 2015.03.03
  • 한글파일 VHDL 디지털 시계 digital watch
    실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다. 2. ... , 전체적 구성을 알기 쉬움단점: 복잡하고 Top Disign은 쿼터스 프로그램 밖에 못 쓴다.VHDL장점: 디자인이 바뀌어도 사용 가능하다단점: 전체구조를 알아보기 힘들다. ... Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는 설계하는 사람(리더)이 결정한다.Top Entity Schematic 장점: 심볼 형태->한눈에 알아보기 쉬움즉
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 한글파일 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 指導敎授 : 宋 仁 彩 이 論文을 學士學位 論文 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 한글파일 vhdl 시계
    ? 최종 제작 소스 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ct is port(clk, reset : in std_logic; sw_min, sw_hour : ..
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.06 | 수정일 2017.03.08
  • 한글파일 VHDL을 이용한 디지털시계
    제목 디지털 시계 구현 2. 목적 지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다. 3. ... 설계 절차 ① 디지털 시계의 설계 사양 결정 ㉠ 디지털 시계의 설계 과정 블럭도 ㉡ 디지털 시계의 기능 설명 입력: CLK : 외부에서 제공하는 시스템 클럭 SW1, SW2 : 각종 ... 알람이 울릴때, LED에 불이 들어온다. ② 디지털 시계의 블럭 다이어그램 전체 블럭도 ③ 블럭별로 본 기능 각 블럭의 이름 기 능 DIV_CK 입력 100Hz를 만들어 주는 블럭
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • 한글파일 VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 시계를 1초에 숫자 1씩 바꾸기 위해 필요한 변수이다. ◎ Output DIGIT : 시계를 표시할 때 사용될 segment를 지정해주는 변수이다. ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 파워포인트파일 VHDL 디지털시계 발표 자료
    하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.ow} ... 완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... 유지 하면서 단순하면서도 시계의 시, 분 , 초가 수정이 되도록 한다) 문제점 -최초에 Bus key 1,2,3,4, 5,6을 버튼으로 설정 후 시 , 분 , 초를 수정 하기 위한
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 한글파일 VHDL 시계 설계
    ♣ 2버튼 디지털 시계 설계 ▶작동 방법 : 앞에서 했던 디지털 시계설계는 3개의 버튼으로 초, 분, 시를 설정할수 있었지만 이번에 설계하는 디지털 시계는 2버튼으로 설계를 해보았습니다 ... 설계 ⇒ 앞에서 제출했던 추가 레포트와 마찬가지로 2버튼 시계와 3버튼 시계는 12진 카운터를 똑같이 설계를 했습니다. ... 또한 2버튼 디지털 시계시 3버튼 디지털 시계와 차이시 초, 분, 시를 선택할수 있고, 또한 초, 분, 시 의 값을 증가할수 있습니다.
    리포트 | 17페이지 | 25,000원 | 등록일 2011.04.07
  • 파일확장자 VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다. 사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2v Index Clk_div Dclk_2v Bcd_seg dclk waveform clok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 한글파일 VHDL을 이용한 세계시계 구현
    VHDL Code for Each Block 1. ... o 현재시간과 동시에 세계시간을 보여줌으로서 순서대로, -9시간의 런던, -2시간의 하노이, -10시간의 뉴욕, +1시간의 시드니를 표시한다. 5. 12시제, 24시제 표시(세계시계에서도 ... VHDL코드에서 segment파일은 display블록에서 사용하는 함수들을 모아놓은 것이기 때문에 블록 다이어그램에서는 생략하였다.
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 한글파일 VHDL을 이용한 디지털시계설계
    전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을 ... VHDL을 이용한 디지털시계 4. VHDL Code 5. 실험 결과 및 분석 6. 실험방법(트레이닝 키트) 7. 결론 및 느낀점 1. ... 시계를 검증 및 확인한다. - VHDL구문을 이해하여 다른 기능을 추가하여 본다. 2.
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 한글파일 VHDL을 사용한 시계
    프로젝트를 시작하며 1 이번 프로젝트는 VHDL기반 하에 카운터를 이용한 디지털시계를 만드는 것이다. VHDL이라는 것을 처음 접해 보기 때문에 관련된 지식이 많이 부족하다. ... 하지만, 프로젝트를 진행함에 있어 첫 단추를 채우는 방법이나 진행하는 방법 그리고 과정, 또한 VHDL이라는 새로운 것을 익힐 수 있는 매우 좋은 기회라 생각된다.
    리포트 | 13페이지 | 1,000원 | 등록일 2008.12.31
  • 파일확장자 [VHDL][논리회로] 시계설계(서브모듈이용)
    [VHDL][논리회로] 시계설계(서브모듈이용) A+받은 설계 입니다 플래그도 이용
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2021.06.09
  • 파일확장자 vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • 한글파일 VHDL를 이용한 디지털 시계
    STD_LOGIC; SEG_F : out STD_LOGIC; SEG_G : out STD_LOGIC; SEG_DP : out STD_LOGIC); end digital_clock; ( VHDL ... 이번 실험은 디지털 시계를 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다. ... 과 목 : 논리회로설계실험 과 제 명 : 디지털 시계 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 21 Introduction
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • 한글파일 vhdl 디지털 탁상 시계 설계
    2010학년도 과목 교수 이름 학번 설계작업서 성결대학교 정보통신공학부 모둠 설계제안서(계획서)-목표 순서 항목 평가 설계의 목표 ● 설계목표 : VHDL 디지털 탁상 시계 구현. ... 쉬운 시계. ... ● P/L : 만능기판, 7-Segment, VHDL Kit, LED, etc. ● 구현방법 : VHDL - software Wire wrapping - hardware Orcad
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업