• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,620)
  • 리포트(1,372)
  • 논문(176)
  • 시험자료(49)
  • 자기소개서(15)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"signal processing" 검색결과 121-140 / 1,620건

  • 워드파일 [최종합격]연세대학교 컴퓨터대학원 학업및연구계획서
    반도체 칩은 초미세화 될수록 signal 간 interference가 증가하고, 이를 최적화시키는 데 필요한 지식과 시간은 증가하기 때문입니다. ... JAVA언어 기반의 ‘processing’ 프로그램에서 객체지향프로그래밍 방식을 이용하여 모니터링 시각화 기능, 센서 데이터 전처리 기능, 기본구현하였습니다.
    자기소개서 | 4페이지 | 7,000원 | 등록일 2024.02.16 | 수정일 2024.02.19
  • 워드파일 부모의 역할에 관한 영문 에세이. 전통적이고 강압적인 양육방식보다는 아이를 존중하고 서로배우는 자세를 가질것. What is good Parenting
    These false signals don’t help kids have a sound mind. ... Parenting is the process of learning and growing up together with parents and kids both.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.29
  • 워드파일 연세대학교 반도체공학레포트
    They contain a PNP configuration or an NPN configuration, regulating current and switching electronic signals ... This doping process results in a material that either adds extra electrons to the material (which is ... Foundries can then extract the Si from the sand and, through lots of purification processes, electric
    리포트 | 3페이지 | 2,500원 | 등록일 2021.09.18
  • 한글파일 컴퓨터, 컴퓨터 기억장치 계층구조의 개념
    프로세스가 입출력 완료, 시그널 수신 등 어떤 사건을 기다리고 있는 상태를 말한다. 종료(terminated) : 프로세스의 실행이 종료되었다. ... 이 관리에서 중요한 것은 PCB(process control block)이며, 이곳은 프로세스에 대한 모든 정보가 모여 있다. ... CPU는 Central Processing Unit 의 줄임말로 컴퓨터 시스템을 통제하고 프로그램의 연산을 실행 및로 현재 사용 중인 프로그램이나 데이터가 저장되어 있는 공간이고 자유롭게
    방송통신대 | 7페이지 | 5,000원 | 등록일 2021.08.24
  • 한글파일 A+보장, 생화학 MTS TEST, MTS ASSAY 결과레포트
    translocation되는 EML4-ALK translocation이 일본의 Mano 등에 의해 밝혀졌으며 이 유전자에서 과발현된 fusion protein은 결과적으로 암세포를 형성하는 cell signal을 ... crizotinib IC50 = 25nM정도 http://www.hematologyandoncology.net/files/2014/07/Awad1.pdf http://media.cellsignal.com ... chain for replication, preventing the DNA double helix from being resealed and thereby stopping the process
    리포트 | 7페이지 | 1,500원 | 등록일 2019.12.25
  • 한글파일 디시설 - 패리티 발생기, 검사기 설계
    downto 0); output : out std_logic_vector(n downto 0)); end parity; architecture Behavioral of parity is signal ... 마지막으로 위에서 선언된 function은 process문 안에서 호출되는데, 28행과 같이 9비트의 출력에 원래 입력 데이터에 XOR 연산된 패리티 비트가 포함된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 전공영어 레포트
    작은 고체상태의 반도체 장치. 3) An electronic circuit for locking an oscillator in phase with an arbitrary input signal ... On the one hand, information can be said to be the product of a data processing system. = 다른 한편으로 정보는 ... As we saw above, by processing items of data generated by an activity according to defined rules, useful
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 파워포인트파일 Cohesion and Coherance
    There are various types and terms for cohesive devices, including signal words, signposts, linking words ... This indicates a failure to effectively manage analytic processes within organisations in order to cope ... The problem is that , world-wide, people are using significantly more of this water than can be replaced
    리포트 | 9페이지 | 1,000원 | 등록일 2021.12.26
  • 파워포인트파일 향과 맛-Smell images and the flavour system 발표자료 PPT
    Craving-related increases in the fMRI BOLD signal were seen in the hippocampus, insula and caudate nucleus ... Their much enlarged brains with their increased capacities for analysis and complex processing of smell ... desire The olfactory cortex and its interactions with other limbic areas form a central hub in this process
    리포트 | 19페이지 | 2,500원 | 등록일 2020.11.10
  • 파일확장자 영상기반 주시선 사용자 인터페이스의 타당성 테스트
    본 논문에서는 적외선 카메라가 실시간 영상을 입력받아 사용자의 주시선을 추적하고 이를 인터페이스로 사용하는 방법을 제안하였다. 기술 검증을 위해 사용자의 의도적인 주시선 움직임에 따른 주시선 추적 시스템의 정확도와 반응속도에 대한 실험을 하였으며, 실험결과 평균 93%..
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 영문 수업계획안 (중학생 대상)
    decided to move back to Melbourne, So, I was trying to figure out or understand what controls; like what signals ... It is important in processing a language unconsciously and quickly. ... and delivered to cells and how it is returned back to the liver.. : Students often have difficulty in processing
    리포트 | 6페이지 | 2,000원 | 등록일 2020.05.20
  • 한글파일 [연세대학교 물리학과 물리학실험(A-1)] 2번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    The reactance X _{C} is inversely proportional to the capacitance C and the frequency f of the signal ... high-pass filter function. 5) Reactance, Impedance and Phase Shift To explain the phenomena of filtering process ... waveform and expression of the output voltage. (4) Set frequency values with some increments and repeat processes
    리포트 | 7페이지 | 5,000원 | 등록일 2019.07.23
  • 한글파일 MICE 산업의 혁신적 기술에 대한 원어 레포트
    ‘movement system’ such as logistics or transportation. some dedicatied machines can recognize radio signals ... The senses are immersed and trick the brain to process the experience as if it were happening. ... increasingly using these RFID systems, which are mainly used to recognize paricipants and to allow online processing
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.03
  • 워드파일 [물리전자2] 과제7 내용 요약 8단원 Optoelectric devices
    Using light with wavelengths approximately between 1.3μm and 1.55μm can reduce signal loss in optical ... This process occurs randomly, and the emission rate is proportional to the population of energy level
    리포트 | 3페이지 | 2,500원 | 등록일 2023.12.21 | 수정일 2023.12.30
  • 한글파일 면역학 기본 이해 2탄입니다.(T, B cell 중심)
    receptor들 중 일부는 CD4 or CD8의 발현이 감소하게 되고, TCR의 receptor 발현이 증가하게 되어 살아남게 되고, 이는CD4 or CD8 single ive signal을 ... MHC:Peptide Processing and Presentation T cell의 Protection은 TCR receptor가 세포 표면에 존재하는 MHC:peptide를 인식함에 ... 한다. - Processing과 Presenting은 Effector T cell의 Development를 유도하거나, Effector T cell의 function을 유도한다.
    시험자료 | 27페이지 | 4,000원 | 등록일 2023.06.23 | 수정일 2023.06.26
  • 파워포인트파일 MRI, X-ray 등 질병 진단 방법과 원리에 대한 발표 자료 입니다.
    MRI, hydrogen atoms are most-often used to generate a detectable radio-frequency signal that is received ... The disease process is associated with plaques and tangles in the brain . ... MRI is widely used in hospitals and clinics for medical diagnosis, staging of dis In clinical and research
    리포트 | 13페이지 | 1,000원 | 등록일 2019.10.20
  • 한글파일 [연세대학교 물리학과 물리학실험(A-1)] 7번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    an electronic circuit element designed to be used with other circuit elements to perform a specified signal-processing ... We repeated the same process both with square and sine waves. ... Operation Amplifier > < An Operational Amplifier Including Power Supplies > There are plus and minus input signs
    리포트 | 10페이지 | 5,000원 | 등록일 2019.07.23
  • 파일확장자 Fissile Measurement in Various Types Using Nuclear Resonances
    The detection signal is discriminated for uranium and plutonium in neutron resonance technique. ... The transmitted signal for fissile resonance has a direct relation with the content of fissile. ... In each process of the pyro-process, a different composition of the fissile material is produced.
    논문 | 12페이지 | 4,300원 | 등록일 2023.07.31
  • 파일확장자 스마트 교차로 일간 데이터를 활용한 인공신경망 기반 교통신호 운영 효과 연구
    process. ... PURPOSES : This paper proposes an artificial neural network (ANN)-based real-time traffic signal time ... The proposed model generates suitable traffic signal timings for the next cycle, which are assumed to
    논문 | 9페이지 | 4,000원 | 등록일 2023.08.28
  • 한글파일 심리학개론 관련 영어단어 모음
    장소원리 place principle 관문이론 gate theory 엔도르핀 endorphin 캡사이신 capsaicin 미각 taste 공감각 synesthesia 신호탐지이론 signal-detection ... theory 상향 과정 bottom-up process 하향 과정 top-down process 전경과 배경 figure and ground 근접성 proximity 유사성 similarity
    시험자료 | 2페이지 | 1,500원 | 등록일 2020.11.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업