• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 1-20 / 273건

  • 워드파일 VHDL 신호등 구현
    VHDL 신호등 구현 목차 개요 및 설계 코드 구현 결과 사진 힘들었던 점 개요 및 설계 -목표 : FSM을 사용하여 신호등을 구현해야 한다. ... 신호등 1,3가 녹색인 경우 스위치가 눌려있다면 다음 차례의 신호등 1,3이 녹색인 경우 보도에 녹색이 들어와야 한다(go 표시) -설계 지금 목적은 신호등이므로 신호(현재 신호 상태 ... 신호등 2,4가 녹색이고 스위치가 눌려있다면, 다음 신호등 1,3때 횡단보도에 녹색(go)이 들어온다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • 한글파일 교통신호등 제어기 VHDL 설계
    ▣ 교통신호등 제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 디지털시스템설계 신호등설계 VHDL A+자료
    상태NEXT_STATE : 다음상태에 올 신호등 변화여부NEXT_STATE_WORD : 다음상태에 올 신호등 상태입력 값 SIG_V와 SIG_P의 값을 저장하는 SIGVorP의 값이 ... ‘RST=1’ 일 때, 기기 초기화 조건이므로 ‘SIGVorP’에 초기조건 ‘zero’라는 값을 저장한다.또는 현재상태가 ‘D‘ 상태, 즉 AVE STREET과 보행자 신호등의 초록등이 ... CLK RST SIG_VSIG_P
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 파일확장자 아주대 논리회로 vhdl 신호등 과제[학점 A+]
    보행자 신호등은 적색등과 녹색등으로 이루어져있으며, 녹색등 안에 숫자를 카운트할 수 있는 7-Segment 2개가 포함된 게 일반적인 신호등이다.이번 프로젝트에서는 간단하게 ... 녹색등 하나에, 7-Segment 1개가 포함된 신호등을 제작한다.입력 1개와 출력 8개가 존재해야하며, 입력 1개는 시작을 알리는 입력으로 끝날 때까지 입력이 지속되어야 하며, ... 표현한다.> 이 source에서 architecture의 이름을 light라 하고, 그 안에 신호 temp를 integer로 선언하였다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.29 | 수정일 2016.05.31
  • 한글파일 VHDL을 이용한 신호등 설계 프로젝트
    실험의 목표 VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다. ① 교차로에서 차량신호등과 ... , 보행자 신호등에 동시신호가 발생하며, 동, 서, 남, 북 네 방향을 주기적으로 전환하며 신호가 발생한다. ② 기본신호등에 차량의 누적된 차량의 수에 따라 신호의 길이가 조절 가능하게 ... 참고로 신호등이 가장 빠른 Clock이 필요할때는 보행자 신호등이 깜빡거릴 때이고, 그때의 Clock으로 사용하기 위하여, Clock을 변환한 것이다. interval_counter
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • 한글파일 VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계 프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다. ... 개발도구: FPGA(ALTERA)BOARD, QuartursⅡ //신호등 구동을 위한 포트 library ieee; use ieee.std_logic_1164 ... out std_logic_vector(3 downto 0); ); end traffic; //클럭 분주를 위한 변수의 선언 architecture arc of traffic is //신호등
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • 한글파일 [A+자료] VHDL를 이용한 신호등 설계 입니다.
    논리회로 설계 과제 < 신호등 설계 > 과 목 명 담당교수 소 속 팀 원 제 출 일 1. 목적 이번 설계의 목적은 VHDL을 이용해서 사거리 신호등 시스템을 구현하는 것이다. ... 간단한 사거리 신호등이여도 그것을 VHDL로 코드화 시키고 설계한다는 것이 쫌 복잡하였다. ... 한우진 VHDL이라는 프로그램을 자주 접해보지 못하여, 신호등 시스템을 설계할 때 VHDL에 관련된 책이나 자료들을 통하여 무사히 마친 것 같다. 12월1일에 처음 모여서 역할분담을
    리포트 | 6페이지 | 3,000원 | 등록일 2012.06.17
  • 파일확장자 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 한글파일 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... 실습 제목 : 4차선 신호등 제어기 설계2. ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 파워포인트파일 vhdl 센서를 이용한 신호등 제어
    센서를 이용한 신호등 (Signal lamp with sensor) 2007년도 1학기 VHDL Project 목 차 동기 및 목적 동작 설명 블럭도 프로그램 시뮬레이션 회로도 완성그림 ... (적색) A도로신호등(황색,FND 0) B도로신호등(적색) A도로신호등(적색) B도로신호등(녹색,FND 8) A도로신호등(적색) B도로신호등(녹색,FND 7) A도로신호등(적색) B도로신호등 ... (적색) B도로신호등(녹색,FND 2) A도로신호등(적색) B도로신호등(황색,FND 1) A도로신호등(적색) B도로신호등(황색,FND 0) A도로신호등(녹색) B도로신호등(적색) A도로신호등
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 한글파일 vhdl을 사용한 신호등 설계
    1인 것은 앞에서의 1초가 지났다는 것을 의미한다.count `0`);c_state
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.19
  • 한글파일 [vhdl]신호등 제어하는 코딩 (vhdl)
    library ieee;use ieee.std_logic_1164.all, ieee.numeric_std.all;entity traffic_control is port(clk, reset, car : IN std_logic; led ..
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.11
  • 파일확장자 VHDL로 만든 신호등 컨트롤러 소스입니다.
    VHDL로 만든 신호등 컨트롤러 소스입니다.
    리포트 | 8페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • 파일확장자 VHDL 신호등 설계 source파일
    4방향 보향자 신호가 있는 신호등 깜박이 신호 포합
    리포트 | 3,000원 | 등록일 2008.02.23
  • 파일확장자 신호등설계 VHDL 설명과주석포함
    VHDL로 설계한 신호등 입니다 각 주석이 달려있고 설명을 위한 PPT입니다. 4방향 교차로 신호등 4개를 가지고 있는 신호등
    리포트 | 5,000원 | 등록일 2008.02.21 | 수정일 2014.07.02
  • 파일확장자 VHDL을 이용한 교통 신호등 설계
    VDHL을 이용하여 교통 신호등을 설계한 프로그램 입니다. (4거리) 빨간불, 초록불, 노란불이 자동으로 바뀌며 신호등에 불이 들어와 있는 동안 7segment에는 신호가 바뀌기까지
    리포트 | 14페이지 | 10,000원 | 등록일 2007.01.12
  • 파일확장자 [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을 ... 프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다.
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 한글파일 Traffic Control Signal 제작 (VHDL) - 신호등 제작
    만약, 신호를 변경해야 할 경우에는 1 cycle 동안 두 신호등 모두 황색등이 되었다가 신호가 바뀐다. -- 한 쪽에만 계속 차가 있는 상황이라면 cycle에 무관하게 계속 녹색등이 ... 한 쪽에게만 켜지게 된다. ◆ VHDL Code -- ::: micro_08.vhd ::: 메인 entity 파일 - state는 총 3개로, Attention (두 도로 모두 차가 ... LampA, B의 신호등은 LampB. - 시뮬레이션의 앞 부분 - StorePast에 1 신호가 들어왔고 도로A에 차가 있을 때, 다음 신호 변경 때에는 도로A에 녹색등이 켜짐.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.26
  • 한글파일 [vhdl] vhdl을 이용한 신호등
    신호등의 제작. 이번에 제작할 신호등은 사거리 신호등이다. 하지만 일반 사거리 신호등과는 다른 설정이 되어있다. ... . 1) 신호등의 동작 여러분의 이해를 돕기 위해 우선 신호등의 순서를 표를 이용하여 설명하겠다. ... 신호등을 설계할 때 가장 먼저 해야 할 일은 '신호등을 어떤 순서로 돌릴 것인가?' 하는 문제이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2002.11.27
  • 한글파일 [정보통신] VHDL을 이용한 신호등 제어
    교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. ... 시스템 상태에 대한 type "color"와 "state"를 각각 정의하였으며, VHDL 모델의 기술표현을 좀더 이해하기 쉽도록 신호등의 색깔과 상태를 위해 constant를 정의 ... 상태 00 은 간선 도로 신호등(HL)이 녹색인 동시에 농로의 신호등(FL)이 빨강색인 상태이며, 상태 01 은 간선 도로 신호등이 노랑색인 동시에 농로의 신호등은 빨강색인 상태,
    리포트 | 5페이지 | 1,000원 | 등록일 2004.06.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업